Pyvsc Versions Save

Python packages providing a library for Verification Stimulus and Coverage

v0.8.9

1 month ago

0.8.9

  • (#205) - Ensure that recursive references between objects don't cause recursion

v0.8.8

5 months ago

0.8.8

  • Ensure covergroup type names are properly reflected in saved coverage data.
  • Test suite updates to adapt to newer Python versions
  • Bump required version of PyUCIS to 0.1.3

v0.8.7

5 months ago

0.8.7

  • (#199) - Correct how the swizzler handles 'not inside'. It was incorrectly treating it the same as 'inside'

v0.8.6

7 months ago

0.8.6

  • (#191) - Fix from @alwilson to ensure proper priority of dist vs soft constraints
  • (exp) - Add experimental covergroup callback

v0.8.5

8 months ago

0.8.5

  • (#189) - Correct an issue with how arrays with constraints on sum are grouped into rand sets.

v0.8.4

8 months ago

0.8.4

  • (#188) - Automatically convert floating-point numbers used in constraints to integers

v0.8.3

1 year ago

0.8.3

  • (#176) [Resolved by Alex Wilson] Correctly handle soft constraints that are under conditions such as if/else.

v0.8.2

1 year ago

0.8.2

  • (#172) Change list subscript to return a ValueInt such that the value returned by a list subscript can, itself, be subscripted

v0.8.1

1 year ago

0.8.1

  • (#135) Add an 'original_module' field to randobj classes so true declaration location can be determined

v0.8.0

1 year ago

0.8.0

  • (#166) Correct rand-size list support for composite elements