Pulp Platform Bender Versions Save

A dependency management tool for hardware projects.

v0.13.0

4 years ago

Added

  • Add the frozen option to prevent any dependency updates for a package.
  • Add the workspace section to carry workspace configuration.
  • Add the workspace.checkout_dir option to keep local working copies of each dependency.

Changed

  • Make order of packages and defines deterministic.
  • Move the package_links option to workspace.package_links.

v0.12.1

5 years ago

Changes since 0.12.0

Added

  • Add -f flag to sources for printing a flattend source listing.

Changed

  • Make JSON output human readable.

Fixed

  • Fix target defines to be all uppercase.
  • Fix emission of target defines for source files which have no other defines.

v0.12.0

5 years ago

Changes since 0.11

Bender can now generate scripts for Modelsim (vsim) and Synopsys DC (synopsys) with the script command.

v0.11.0

5 years ago

Changes since 0.10

Users can now interactively resolve dependency conflicts.