Pulp Platform Bender Versions Save

A dependency management tool for hardware projects.

v0.28.1

2 months ago

Added

  • Add flist-plus script format for file list with plusargs.

Fixed

  • Ensure defines/includes/sources are included in script when specifying multiple --only-*.

Changed

  • For bender clone: Add a relative path to the lockfile to align to change in v0.27.0.

v0.28.0

3 months ago

Added

  • Add macOS binary for releases
  • Add init command to initialize a Bender.yml file of an IP.
  • Allow environment variables in dependency and sources paths.
  • Add windows binary and compatibility for release.

Fixed

  • Documentation and Error Message fixes.

Changed

  • Complete revamp of script generation, now using templates. Script formats are homogenized and custom templates are enabled.

v0.27.4

5 months ago

Added

  • Add clearer error message when commits are no longer available upstream.
  • Improve Readme git explanation

Fixed

  • Fix CI GNU release.

v0.27.3

7 months ago

Added

  • Add --checkout flag to path command to force checkout if needed.
  • Add --no-checkout flag to update command to prevent checkout after update if not needed.

Changed

  • path and local links: Skip checkout if package path already exists (can be overruled by --checkout flag)
  • update: Default to automatically perform checkout after update (can be overruled by --no-checkout flag)

Fixed

  • Improve ReadMe and Warning information for vendor upstream linking.
  • Ensure workspace.package_links symlinks are properly updated when executing the clone command.

v0.27.2

9 months ago

Added

  • Add information on expected location for manifest file not found.

Fixed

  • Use IndexMap and IndexSet instead of the std Hash* equivalents to preserve ordering
  • Change GNU release to be built to a more compatible binary (manylinux container).
  • Parse override dependencies in lowercase to align to change in 0.25.0

Changed

  • Adjusted hash input for dependency checkout to ensure consistency within a project.

v0.27.1

1 year ago

Fixed

  • Fixed accidental debug print in sources command

v0.27.0

1 year ago

0.27.0 - 2023-01-16

Added

  • Add --no-default-target flag to script command to remove default targets
  • Add fusesoc command to generate FuseSoC .core files.
  • Add rhel and almalinux releases

Changed

  • Reworked import command to vendor, refactor corresponding Manifest entry (vendor_package instead of external_import)
  • Update clap to v4, changes CLI
  • Use relative paths in Lockfile if path dependency is in a subdirectory

Fixed

  • Streamline import command for initializing a repository

v0.26.1

1 year ago

v0.26.0

1 year ago

v0.25.3

1 year ago