Plutosdr Fw Versions Save

PlutoSDR Firmware

v0.38

5 months ago

Summary

Changelog

0359a0b (HEAD -> master, tag: v0.38, origin/master) PlutoSDR: Prepare for v0.38 release 0ecb139 scripts: Add run-xsdb.tcl 036b9a3 Makefile: Add test build option to skip generating license info 26056c8 Makefile: Always pass ARCH & CROSS_COMPILE variables to make 33a9d88 Makefile: Update Vivado Version too 2022.2 ea3d810 Makefile: Switch to buildroot Linaro toolchain 183c0f4 Merge pull request #90 from analogdevicesinc/fix-legal_info_html fc1494d (origin/fix-legal_info_html) scripts/legal_info_html: Fix HTTP 301 Moved Permanently issue with libxml2 1077d0b (origin/dev_parser) Adding rule file for console parsing in Jenkins

analogdevicesinc/linux@ded47019135a configs: zynq_pluto_defconfig: Enable IPv6 support and disable GCC plugins analogdevicesinc/linux@16511aa10e47 arch: arm: zynq-pluto*.dts*: Update device tree to reflect phaser analogdevicesinc/linux@9cb6e5b51047 arch: arm: zynq_pluto_defconfig: Add the phasor related drivers analogdevicesinc/linux@06aaca6102f8 iio: adc: ad9361: Export pl_intf_clk reflecing interface PL clock rate analogdevicesinc/linux@e74e3f82dc22 drivers: iio: adc: cf_axi_adc.h: Add missing reg analogdevicesinc/linux@c09362c88895 iio: frequency: cf_axi_dds: drop mlock usage analogdevicesinc/linux@201d61bbb7ad iio: adc: ad9361: remove THIS_MODULE from spi_driver analogdevicesinc/linux@9d2fff792881 iio: adc: ad9361: convert probe to device managed calls analogdevicesinc/linux@42577824b1db iio: adc: ad9361: make sure clkin is disabled analogdevicesinc/linux@5d8ee951447b iio: adc: cf_axi_adc_core: fix segfault on unbind analogdevicesinc/linux@136349de0e85 iio: adc: cf_axi_adc_core: unregister the IIO device analogdevicesinc/linux@19ff231f7056 iio: adc: cf_axi_adc_core: make sure dt nodes are released analogdevicesinc/linux@6fa316464f96 iio: adc: ad9361: drop mlock usage analogdevicesinc/linux@a410b0de3922 iio: dac: cf_axi_dds: Protect against unimplemented callbacks analogdevicesinc/linux@abad355db4c6 drivers: ad9361: fix fast lock addressing analogdevicesinc/linux@a6250c5a7932 iio: adc: cf_axi_adc_core: Fix masklenght initialization

analogdevicesinc/buildroot@f70f4aff40 package: libiio: libiio.mk: Update to tip of libiio-v0 branch analogdevicesinc/buildroot@1bad84bdf6 configs/zynq_pluto_defconfig: Add libgpiod and tools analogdevicesinc/buildroot@6011c6162c configs/zynq_[pluto|m2k]_defconfig: Switch to Linaro toolchain analogdevicesinc/buildroot@620344f93b Merge tag '2023.02.5' of https://github.com/buildroot/buildroot.git analogdevicesinc/buildroot@170bf9c8d1 board: pluto: S23udc: Fix pluto's config.txt confusing analogdevicesinc/buildroot@eca3e0a06a package: libiio: Bump libiio version to v0.25 analogdevicesinc/buildroot@529790e39c board/pluto/S45msd: Update libiio search string for libiio v0.25

analogdevicesinc/hdl@763ee38ee pluto: Enable phaser integration analogdevicesinc/hdl@15250232f axi_dmac: Fix constraints coverage and empty to list warnings analogdevicesinc/hdl@b3f3f7c39 docs/regmap: Added the regmap file for the generic TDD controller analogdevicesinc/hdl@ef278e1c8 library/axi_tdd: Add generic TDD engine

v0.37

1 year ago

Summary

  • Fix RNDIS/CDC Ethernet interface IP assignment
  • Add hook in init.d to run user application code

analogdevicesinc/buildroot@0d5db4a280 board: pluto: S23udc: Advertise USB MaxPower 4mA in some cases analogdevicesinc/buildroot@1e4f7b5a56 board: pluto|m2k: Add hook in init.d to run user application code analogdevicesinc/buildroot@be38b5a9e8 board/pluto/S40network: Fix typo in udhcpd.conf

v0.36

1 year ago

Summary

  • Linux update to branch 2021_R2
  • FPGA/HDL update to branch hdl_2021_R2
  • Vivado Version 2021.2
  • Update Buildroot to 2022.02.3

Changelog

ff2f480 (HEAD -> master, tag: v0.36, origin/master) Merge pull request #81 from analogdevicesinc/add-ci 0136a17 (origin/add-ci) Add script for ci. e5b65eb Makefile: Fix sysroot warnings 6e14316 README.md: Update README for v0.36 release 036e5b6 Plutosdr-fw: Submodule update eed08b4 .gitignore: Update gitignores with auto-generated files b959b4c Makefile: Bump Vivado Version to 2021.2 for ADI 2021_R2 release 714cd8a plutosdr-fw: buildroot: Fix git hashes

analogdevicesinc/linux@83bcd13918df iio: dac: cf_axi_dds: move to device managed probe analogdevicesinc/linux@a2ecbfda60dc iio: adc: cf_axi_adc_core: move to device managed probe analogdevicesinc/linux@43399897108a dmaengine: axi-dmac: check cache coherency register

analogdevicesinc/buildroot@509c896ac1 board/pluto/S40network: Compatibility with new busybox dhcpcd analogdevicesinc/buildroot@f646d45413 package/libiio/0001-C-public..: Remove already applied patch analogdevicesinc/buildroot@1a1d0b519b (origin/master-next) board/pluto: Add support for Android USB Tethering analogdevicesinc/buildroot@8aeff978e1 configs: zynq_[pluto|m2k]defconfig: Switch to buildroot toolchain analogdevicesinc/buildroot@bd460921db Bump gnuradio to 3.10.3 analogdevicesinc/buildroot@abef218e85 board/pluto: Add support for Android USB Tethering analogdevicesinc/buildroot@e5b7b352c3 configs: zynq[pluto|m2k]_defconfig: Switch to buildroot toolchain analogdevicesinc/buildroot@cd8053695d Revert "Update for 2021.02.7" analogdevicesinc/buildroot@fb3c633cf2 Update for 2022.02.3

analogdevicesinc/hdl@cef4adb81 axi_dmac: Add suport for 64 bit address width

v0.35

1 year ago

Summary

  • Libiio v0.24
  • Linux 5.10
  • FPGA/HDL Updates
  • Vivado Version 2021.1
  • Fix device_persistent_keys command

Changelog

d9f8f24 buildroot: Update submodule buildroot cfd82a3 scripts/legal_info_html: Update surface-mail address 6a7f355 Makefile: Update to Vivado version 2021.1 and fix jtag-bootstrap target e1d6f04 make build script compatible with vivado/vitis 2022.1 (should also work for all version 2020.1 and above) 2ea3aa8 Revert "Makefile: Update to Vivado Version 2021.1" f3073ff PlutoSDR: Prepare for v0.35 release 315422d Makefile: Update to Vivado Version 2021.1 f77f964 (catkira/master) make build script compatible with vivado/vitis 2022.1 (should also work for all version 2020.1 and above) 693b863 PlutoSDR: Linux submodule update to Linux 5.10 60c81d0 Makefile: Fix u-boot env script, rename dt node axi->amba c616ae6 download_and_test: put the pluto into dfu mode, download & boot it. 4972bf5 setup_env : set the missing envionmental/shell vars that need to be set

analogdevicesinc/linux@86b17f425740 iio: adc: ad9361: Fix type for split_gain_table_abs_gain analogdevicesinc/linux@12a4e479395a iio: adc: cf_axi_adc_core: Fix NULL pointer dereference in axiadc_read_raw analogdevicesinc/linux@8e59ec4c25a2 iio: frequency: cf_axi_dds: New real variant of adi,axi-ad9081-tx-1.0 analogdevicesinc/linux@ae2c9ca5dbc7 iio: frequency: cf_axi_dds.c: Support for 64-bit IIO_CHAN_INFO_SAMP_FREQ analogdevicesinc/linux@dced6c9ccd31 iio: adc: cf_axi_adc_core: Support for 64-bit IIO_CHAN_INFO_SAMP_FREQ analogdevicesinc/linux@c7fcca872f19 iio: frequency: cf_axi_dds: Sync DDSs after jesd204 link establishment analogdevicesinc/linux@9a09ba34d6c8 iio: frequency: cf_axi_dds: Support for EXT SYNC analogdevicesinc/linux@0792eac2172b iio: adc: cf_axi_adc_core: Support for EXT SYNC analogdevicesinc/linux@06dfcb78a4f3 iio: adc: cf_axi_adc_core: Add read_label cb() and forward analogdevicesinc/linux@38521f67847c iio: frequency: cf_axi_dds: Support for chan spec via axidds_core_info analogdevicesinc/linux@fbdcaa819349 iio: frequency: cf_axi_dds: setup_chip_info_tbl() real DDS chan support analogdevicesinc/linux@8077957e8f81 iio: adc: ad9361_conv: Skip digital tune on AXI slave cores analogdevicesinc/linux@c0c1250c1088 iio:ad9361 print out the SPI CLK during probe analogdevicesinc/linux@d1852993f037 iio: adc: ad9361: TX QUAD Cal support for clktf=2*clkrf analogdevicesinc/linux@50d406cc72cf drivers: iio: cf_axi_tdd: Add sample-based controls analogdevicesinc/linux@4055bce192ab iio: adc: cf_axi_adc_core: Add SYNC start support via device attrib analogdevicesinc/linux@f82e3b4a923d iio: frequency: cf_axi_dds: Fix undesired sync start behavior analogdevicesinc/linux@a4b1e659c0fe dmaengine: axi-dmac: Drop local dma_parms analogdevicesinc/linux@78a2f92e4c4a dmaengine: axi-dmac: add support for reading bus attributes from registers analogdevicesinc/linux@3061a65c1b3d dmaengine: axi-dmac: wrap channel parameter adjust into function analogdevicesinc/linux@06b6e88c7ecf dmaengine: axi-dmac: wrap entire dt parse in a function analogdevicesinc/linux@08b36dba23e5 dmaengine: axi-dmac: move clock enable earlier analogdevicesinc/linux@a88fdece44d4 dmaengine: axi-dmac: move active_descs list init after device-tree init analogdevicesinc/linux@b377e670bac5 dmaengine: axi-dmac: move version read in probe analogdevicesinc/linux@a5b982af953b dmaengine: axi-dmac: add a check for devm_regmap_init_mmio

analogdevicesinc/buildroot@b0ed105e9a board: pluto :device_persistent_keys: Copy all generated keys to flash analogdevicesinc/buildroot@19749a3db4 package: libiio: libiio.mk: Bump libiio to version 0.24 analogdevicesinc/buildroot@8ead98606b pluto-sdr: enable easy updating of firmware from github

analogdevicesinc/u-boot-xlnx@90401ce9ce include/configs/zynq-common: Pluto forward compatibility dt node /axi

analogdevicesinc/hdl@ec6e184a1 util_axis_fifo_asym: Fixes for simulation analogdevicesinc/hdl@cd04141ff axi_dmac: Add parameter controlling AWCACHE analogdevicesinc/hdl@faf5f9029 library/axi_dmac: Add the BYTES_PER_BURST_WIDTH interface parameter in INTERFACE_DESCRIPTION analogdevicesinc/hdl@63089a7c5 library/axi_ad9361/intel: Update I/O format analogdevicesinc/hdl@fe713a5e9 library/axi_dmac: Rename 2d_transfer to dmac_2d_transfer analogdevicesinc/hdl@0d9e9e42c sidekiqz2: Updated Readme to link the ADALM-Pluto documentation analogdevicesinc/hdl@889447e90 axi_ad9361: make IODELAYCTRL insertion optional analogdevicesinc/hdl@bc8e7881f axi_dmac: Hook up ID analogdevicesinc/hdl@5dd9fd483 axi_dmac: Allow wider FIFO/AXI Stream interface analogdevicesinc/hdl@43cdc6263 pluto: Fix dunf connection analogdevicesinc/hdl@f2ca956d2 pluto: Fix dunf connection analogdevicesinc/hdl@0959c2bca util_axis_fifo_asym: Initial commit analogdevicesinc/hdl@e61cadb2c axi_dmac: Restore axi_dmac_regmap_request to f7b8a2d version analogdevicesinc/hdl@f2017050e axi_ad9361: Fix typo in tdd interface analogdevicesinc/hdl@c9ca1ac00 util_axis_fifo: Improve GUI layout in Vivado analogdevicesinc/hdl@61c07ff9f util_axis_fifo: Add REMOVE_NULL_BEAT_EN feature analogdevicesinc/hdl@9611be9de util_axis_fifo: Add TKEEP support analogdevicesinc/hdl@0d3d099be util_axis_fifo: Fix FIFO is full alignment analogdevicesinc/hdl@8ce1d6bf3 util_axis_fifo: Switch data and tlast order, improve maintainability analogdevicesinc/hdl@c691b5b0a axi_ad9361: Update constraints in case TDD is disabled analogdevicesinc/hdl@4c0f9a65f axi_dmac: fix non-blocking assignment in combinatorial block analogdevicesinc/hdl@697a1427d axi_ad9361: Update constraints in case TDD is disabled analogdevicesinc/hdl@77ef04201 util_axis_fifo: Add almost empty and almost full support analogdevicesinc/hdl@29d8c14e9 util_axis_fifo: Add TLAST to the streaming interfaces analogdevicesinc/hdl@b6fb5a9b5 util_axis_fifo: Fix slave reset interface definition analogdevicesinc/hdl@769b19580 util_axis_fifo: Add support for tlast analogdevicesinc/hdl@d82f61b9a util_axis_fifo: Add KEEP synthesis attribute for zerodeep CDC analogdevicesinc/hdl@3e237459e pluto: Use adi_project_create instead of adi_project analogdevicesinc/hdl@f7b8a2dfb axi_dmac: Update IP with the new util_axis_fifo analogdevicesinc/hdl@5ac728392 util_axis_fifo: Refactoring

v0.34

2 years ago

Summary

  • Libiio v0.23
  • Fix DMA underflow status
  • Fix missing ADM1177 Supply Monitor
  • Improve automounter

Changelog

1a3637d Add env variable HDF_FILE to point to an OOT fpga design. c9c46c8 README.md: Update for the latest v0.33 release using Xilinx 2019.1

analogdevicesinc/linux@4b05d6d1c51e dts: zynq pluto|m2k: Update ADM1177 compatible to adi,adm1177-iio

analogdevicesinc/buildroot@35af596319 package/libiio: Bump to libiio v0.23 analogdevicesinc/buildroot@af1f0a23c5 pluto automounter: Retry automount in case device driver isn't ready

analogdevicesinc/hdl@43cdc6263 pluto: Fix dunf connection analogdevicesinc/hdl@10b2863bb common/up_dac_common: Expose r1_mode in up clock domain to prevent deadlock

v0.33

3 years ago

Summary

  • Add option to select USB Ethernet Compatibility Mode
    • CDC-NCM support for MacOS and Linux (up to 25% higher throughput on Linux compared to RNDIS)
    • CDC-ECM support for Android and Linux
  • More consistent TX Quadrature Calibration results
  • Fix rssi_gain_step_error DEVICE_ATTR mode
  • Fix ad9361_get_rx_gain() in split table mode
  • Update Buildroot upstream 2020.02.8
  • HTML page updates
    • Device registration
    • Show Network over USB compatibility mode
  • Libiio v0.21 updated to 2019_R2 branch
  • Linux kernel 5.4
  • Add DM9601 USB Ethernet driver

Changelog

8af5c0a (HEAD -> master, tag: v0.33, origin/master) PlutoSDR: Prepare for v0.33 release

analogdevicesinc/linux@b05d16429dac arm: configs: zynq_[pluto|m2k]_defconfig: Add DM9601 USB Ethernet driver analogdevicesinc/linux@89450f163b04 iio: adc: ad9361: Fix rssi_gain_step_error DEVICE_ATTR mode analogdevicesinc/linux@f081a37e7e8d dmaengine: axi-dmac: fix overflow resulting in divide-by-zero analogdevicesinc/linux@7e48e9904421 iio: adc: ad9361: Fix ad9361_get_rx_gain() in split table mode analogdevicesinc/linux@817eac17bb77 iio: frequency: cf_axi_dds: Use proper define analogdevicesinc/linux@d99527a013a3 iio: adc: ad9361: Update TX quad calibration thresholds analogdevicesinc/linux@24558bd4dd00 iio: adc: ad9361: Monitor QUAD_CAL_STATUS_TX2 in rx2tx2 mode analogdevicesinc/linux@e3c4e4acf3e2 iio: adc: cf_axi_adc: remove unused TPL definitions analogdevicesinc/linux@59430b48fd91 iio: cf_axi_adc: add id field to struct axiadc_chip_info analogdevicesinc/linux@acfc706d9d71 dmaengine: axi-dmac: add support for reading bus attributes from registers analogdevicesinc/linux@2d06591de62f dmaengine: axi-dmac: wrap channel parameter adjust into function analogdevicesinc/linux@9b0131f61d3f dmaengine: axi-dmac: wrap entire dt parse in a function analogdevicesinc/linux@8dfa1bbc7d32 dmaengine: axi-dmac: move clock enable earlier analogdevicesinc/linux@10204f1956f6 dmaengine: axi-dmac: move version read in probe analogdevicesinc/linux@b51d4a6b31e7 iio: cf_axi_dds: fix function type analogdevicesinc/linux@fc15be39a827 dmaengine: axi-dmac: add regmap support analogdevicesinc/linux@e28d91552813 dmaengine: axi-dmac: terminate early DMA transfers after a partial one analogdevicesinc/linux@e3923592f80b dmaengine: axi-dmac: populate residue info for completed xfers analogdevicesinc/linux@f4a9fe97ea5a dmaengine: axi-dmac: update license header analogdevicesinc/linux@5b969bd1d9cd dmaengine: axi-dmac: assign copy_align property analogdevicesinc/linux@a5b20600a67a dmaengine: axi-dmac: Discover length alignment requirement analogdevicesinc/linux@b5d89905d039 dmaengine: axi-dmac: Sanity check memory mapped interface support analogdevicesinc/linux@a3ee0bf23eae dmaengine: axi-dmac: Enable TLAST handling analogdevicesinc/linux@8add6cce9848 dmaengine: axi-dmac: Add support for interleaved cyclic transfers analogdevicesinc/linux@9a05045d2a68 dmaengine: axi-dmac: Enable DMA_INTERLEAVE capability analogdevicesinc/linux@648865a79d8e dmaengine: axi-dmac: Don't check the number of frames for alignment analogdevicesinc/linux@56009f0d2f54 dmaengine: axi-dmac: Infer synthesis configuration parameters hardware analogdevicesinc/linux@23b846396b95 dmaengine: axi-dmac: extend support for ZynqMP arch analogdevicesinc/linux@921234e0c5d7 dmaengine: axi-dmac: Split too large segments analogdevicesinc/linux@48b02a85fe2e dmaengine: axi-dmac: Use struct_size() in kzalloc()

Changelog buildroot:

analogdevicesinc/buildroot@a9818ab69c board/pluto/S45msd: Remove undesired character analogdevicesinc/buildroot@19874e5c8c update pluto and m2k on board html pages analogdevicesinc/buildroot@7e6be5fb45 configs/zynq_[pluto|m2k]_defconfig: Remove strace analogdevicesinc/buildroot@bcff8605fe package/libiio/libiio.mk: Update to git 2019_R2 (until next formal release) analogdevicesinc/buildroot@b079676cb4 board/pluto/device_format_jffs2: Fix fs cache issue analogdevicesinc/buildroot@45214d02b7 board: pluto: S23udc: Add option to select USB Ethernet Compatibility Mode analogdevicesinc/buildroot@65af0de8d0 Merge tag '2020.02.8' from Buildroot upstream

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@a2c2013a86 (HEAD -> pluto, origin/pluto) config: zynq-common: Remove compatible env setting analogdevicesinc/u-boot-xlnx@19b59bd9d5 config: zynq-common: Fix attr_val attr_name analogdevicesinc/u-boot-xlnx@8b44bd8131 config: zynq-common: Fix refclk setting analogdevicesinc/u-boot-xlnx@23a5c007b9 configs: zynq-common: Use double quotes for strings analogdevicesinc/u-boot-xlnx@d16d68b6f8 configs: zynq-common: Fix rev B fdt errors analogdevicesinc/u-boot-xlnx@b15d169c8b configs: zynq-common: Fix mode 2r2t analogdevicesinc/u-boot-xlnx@d41a6a6a6d configs: zynq-common: Guard against M2K platform analogdevicesinc/u-boot-xlnx@b5af45694c ci,pluto,m2k,azure-pipelines: add initial CI integration for Azure analogdevicesinc/u-boot-xlnx@e1eb71f029 configs: zynq-common: Fix SPI env update

analogdevicesinc/hdl@697a1427d axi_ad9361: Update constraints in case TDD is disabled analogdevicesinc/hdl@5f0abc509 axi_ad9361: Fix missing connection warnings analogdevicesinc/hdl@d2b116456 axi_dmac: Add interface description register analogdevicesinc/hdl@fe90fc7e5 axi_ad9361: add_instance command must have a version attribute

v0.32

3 years ago

Summary

  • Minor Linux driver bug fixing see full log below
  • ADALM-PLUTO PlutoSDR Rev.C support
  • libiio version 0.21
  • Support for persistent ssh keys and passwd changes
  • Updates to the HTML device/legal information page
  • Linux version 4.19
  • Enable ext4 filesystem support

757843f Merge pull request #52 from analogdevicesinc/rgetz-fix-release 8919c88 PlutoSDR: Prepare for v0.32 release 279af4e legal: make the date, 3 years and 6 months + today's date of the build 53342ab Update Readme.md with some badges c95481d Merge pull request #43 from analogdevicesinc/pluto_RevC 5036bda scripts: pluto.its: Change device tree to RevC

Changelog buildroot:

analogdevicesinc/buildroot@cd1da4c board/[pluto|m2k]/motd: Convert link to HTTPS analogdevicesinc/buildroot@548b393 restore ssh authorized_keys from jffs2 analogdevicesinc/buildroot@471fa43 add ssh authorized_keys to jffs2 analogdevicesinc/buildroot@73ee0ec configs: fix kernel version for vivado 2019.1 analogdevicesinc/buildroot@75ccfd2 configs: zynq_pluto_defconfig: Updates for toolchain from Vivado 2019.1 analogdevicesinc/buildroot@2ee30e3 board: pluto & m2k: Enable persistent ssh keys and passwd changes analogdevicesinc/buildroot@1bfa9dc board: pluto & m2k: change mount points analogdevicesinc/buildroot@f67bbf9 board/pluto/post-build: mount mtd2 as JFFS2 on /mnt/jffs2 analogdevicesinc/buildroot@e2f673c package: libiio: Bump to Version 0.21 analogdevicesinc/buildroot@c53821f passwd: Apply upstream patch - initialize pointers correctly analogdevicesinc/buildroot@31e23b0 configs: add Vivado 2018.3 & 2019.1 defconfigs analogdevicesinc/buildroot@0487c39 ci,build: add check to ensure that ADI defconfigs are up-to-date analogdevicesinc/buildroot@cfc2536 package/dropbear: Update dropbear, enable OPENSSL and -Ofast analogdevicesinc/buildroot@d4686cb package/jesd204b_status/jesd204b_status.mk: Update to latest master analogdevicesinc/buildroot@97b16d3 package/libiio/libiio.mk: Update to V0.19 analogdevicesinc/buildroot@97a13eb board/pluto/busybox-1.25.0.config: Enable CONFIG_FEATURE_DATE_NANO

analogdevicesinc/linux@cd72627 zynq_pluto_defconfig: Enable JFFS2 support and disable use of 4k sectors analogdevicesinc/linux@9ef0448 iio: frequency: cf_axi_dds: update dds_data_select names analogdevicesinc/linux@3ce5946 iio: frequency: cf_axi_dds: fix whitespaces analogdevicesinc/linux@2ed3189 iio: frequency: cf_axi_dds: Channel crossbar support analogdevicesinc/linux@0461899 dts: zynq-pluto-sdr: Update digital-interface-tune-skip-mode info analogdevicesinc/linux@5592fcb iio: frequency: cf_axi_dds: Fix rotation matrix for modified channels analogdevicesinc/linux@76ae514 iio: adc: ad9361: Fix ad9361_set_trx_clock_chain() analogdevicesinc/linux@4db6384 iio: ad9361,ad9371,adrv9009: remove support for old cores analogdevicesinc/linux@10fe631 spi: spidev: Add Pluto SDR compatible id analogdevicesinc/linux@9340641 arch: arm: boot: dts: Add Pluto Rev. C device tree configuration analogdevicesinc/linux@7c71944 iio: ad9361: return the exact amount of bytes for gain-table analogdevicesinc/linux@9d21ce3 arch: arm: pluto: add project header tags + license analogdevicesinc/linux@4cd6cea ARM: dts: zynq-pluto-sdr: remove node axi_sysid_0 analogdevicesinc/linux@9b8a4ec iio: adc: cf_axi_adc.h: Fix HDL core config defines analogdevicesinc/linux@a2abeeb iio: frequency: cf_axi_dds: Fix some checkpatch warnings analogdevicesinc/linux@cfdabfd iio: frequency: cf_axi_dds: Add support for adi,axi-ad9081-tx-1.0 analogdevicesinc/linux@91336d9 iio: frequency: cf_axi_dds: generically handle more than 16 DDS channels analogdevicesinc/linux@a16a077 iio: frequency: cf_axi_dds: Option to retrieve channels from TPL HDL-Core analogdevicesinc/linux@308fddb arch: arm64: talise: update AXI DMAC interrupt types analogdevicesinc/linux@819e1df iio: adc: ad9361: Add the axi-half-dac-rate-enable option analogdevicesinc/linux@3979b2c drivers: iio: adc: ad9361: Added continuous digital tune analogdevicesinc/linux@301861b arch: arm64: update all AXI DMAC int-types analogdevicesinc/linux@bc8f8c6 arch: arm: boot: dts: Fix pluto DMA data width analogdevicesinc/linux@98df3f0 iio: adc: cf_axi_adc: Add support for axi additional channel analogdevicesinc/linux@83475d7 Merge pull request #542 from analogdevicesinc/pluto-ext4-support analogdevicesinc/linux@116711a arm: configs: zynq_pluto_defconfig: Enable ext4 filesystem support analogdevicesinc/linux@0163a51 iio: frequency: cf_axi_dds: Add support for frequency interpolation analogdevicesinc/linux@725143d iio: adc: cf_axi_adc: Add suport for frequency decimation analogdevicesinc/linux@3382a9e arm: configs: zynq_[e310|m2k|pluto]defconfig: Enable AXI SYSTEM ID analogdevicesinc/linux@040d516 iio: ad9361: fix double-free when parsing DT for filter-band settings analogdevicesinc/linux@698946a iio: adc: ad9361: Expose max TX attenuation value in header analogdevicesinc/linux@698ea16 iio: adc: ad9361: remove commented MIN_ADC_CLK def analogdevicesinc/linux@1c7dbe2 iio: adc: ad9361: GPO support via debugfs analogdevicesinc/linux@2f63f45 iio: frequency: cf_axi_dds: use msleep instead of mdelay analogdevicesinc/linux@57c0ddb iio: frequency: cf_axi_dds: remove all PCORE version checks/code analogdevicesinc/linux@9c286d9 iio: frequency: cf_axi_dds: remove old code for cf_axi_dds_start_sync analogdevicesinc/linux@b2588c0 iio: frequency: cf_axi_dds: remove cf_axi_dds_stop() analogdevicesinc/linux@8fc9cb3 iio: cf_axi_dds: make cf_axi_dds_state struct opaque analogdevicesinc/linux@f8c0a8b iio: cf_axi_dds: remove st->enable handling in buffer stream analogdevicesinc/linux@228c133 iio: cf_axi_dds: add cf_axi_dds_dma_fifo_en() helper analogdevicesinc/linux@112794d iio: cf_axi_dds: move dds{read,write} functions to C file analogdevicesinc/linux@a419e2a iio: frequency: cf_axi_dds: use common fpga AXI reg defs analogdevicesinc/linux@342823f iio: adc: cf_axi_adc: use common fpga AXI reg defs analogdevicesinc/linux@7e5f128 iio: frequency: cf_axi_dds: Add support for up to 16 DDS channels analogdevicesinc/linux@b1df362 iio: frequency: cf_axi_dds: Add support for dual ADRV9009 setup analogdevicesinc/linux@94fea7c iio: adc: cf_axi_adc: Introduce post iio_device_register conv callback

analogdevicesinc/hdl@00166d8 axi_ad9361: add non DPA mode support analogdevicesinc/hdl@db5e21c pluto revC: Add second RF channel analogdevicesinc/hdl@f9c8ff2 pluto rev C hardware updates analogdevicesinc/hdl@b2d86ba util_axis_fifo: Fix the FIFO level generation in ASYNC mode

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@469a0fd configs: zynq-common: Add environment configuration for RevC

v0.31

4 years ago

Summary

  • Minor Linux driver bug fixing see full log below
  • ext4 filesystem support
  • libiio Version 0.18
  • Updated Buildroot 2019.02.2 LTS
  • Updates to the HTML device information page

6f25263 PlutoSDR: Prepare for v0.31 release 5d5d747 Makefile: Restore the ability not to have to install VIVADO which was forced by commit 74d917f 937a1b2 LICENSE.html file: update the script which creates the LICENSE.html file 74d917f build,Makefile: add default Vivado SDK path to build db91dc3 build: remove default branch for buildroot submodule 182741a PlutoSDR-fw: Update Submodule buildroot 0ec5004 Merge pull request #28 from analogdevicesinc/readme-update 7063f7b Update README to include all dependencies for truly barebones build.

Changelog buildroot:

analogdevicesinc/buildroot@d6c55df board/pluto/msd/.gitignore: Add temp file analogdevicesinc/buildroot@4a57c15 zynq_{pluto,m2k,sidekiqz2}_defconfig: add host mtools dependency analogdevicesinc/buildroot@6a6241d board/[pluto|m2k]/post-build.sh: Deploy all HTML files to /www for httpd analogdevicesinc/buildroot@3fd7ac0 board/pluto/S41network: Fix udhcpd restart PID file issue analogdevicesinc/buildroot@c43b307 package: ad936x_ref_cal: add hash file with sha256 signatures analogdevicesinc/buildroot@00bbbc0 pluto index.html: add more build info into the index.html file analogdevicesinc/buildroot@feedfe4 Merge pull request #23 from analogdevicesinc/merge-pluto analogdevicesinc/buildroot@aff77ff board/pluto: Remove references to nonexistent language html pages analogdevicesinc/buildroot@529a433 Merge pull request #18 from analogdevicesinc/update-libiio-v018-v2 analogdevicesinc/buildroot@161422c package/libiio/libiio.hash: Update Version 0.18 hash after tag move analogdevicesinc/buildroot@a2a0936 package/libiio/libiio.mk: Update to Version 0.18

analogdevicesinc/linux@3966490 dmaengine: axi-dmac: remove old device-tree bindings support analogdevicesinc/linux@8e704b4 iio: frequency: cf_axi_dds: AD917x add support for different configurations analogdevicesinc/linux@496d948 iio: frequency: cf_axi_dds: [write|read]_raw forward to the converter analogdevicesinc/linux@3baa4d9 iio: cf_axi_tdd: Typo fix analogdevicesinc/linux@1106532 dmaengine: axi-dmac: remove use of sg_nents_for_dma() analogdevicesinc/linux@6af5e44 dmaengine: axi-dmac: remove parantheses in if block analogdevicesinc/linux@6bf0dbc dmaengine: dma-axi-dmac: Fix cyclic transfers descriptors length analogdevicesinc/linux@8b97db6 dmaengine: dma-axi-dmac: Call callback for each period analogdevicesinc/linux@644f296 iio: adc: ad9361: Fix max filter HB rates and update the available attr. analogdevicesinc/linux@205de72 fpga: adi-axi-common: Add common AXI register header analogdevicesinc/linux@2085bf6 arm: configs: zynq_pluto_defconfig: Enable USB_NET_CDCETHER and RNDIS_HOST analogdevicesinc/linux@174c082 iio: adc: ad9361: Fix bug in ad9361_gt_bin_read() analogdevicesinc/linux@23f7503 iio: adc: ad9361: Fix open brace placement analogdevicesinc/linux@c2041af arm: configs: zynq_pluto_defconfig: Enable ext4 filesystem support analogdevicesinc/linux@4e51492 iio: adc: ad9361: Fix to prevent invalid RFBW setting during enable FIR analogdevicesinc/linux@bfb4701 iio: adc: ad9361: Fix to prevent invalid RFBW setting during enable FIR

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@a2f86f3 Fixed variable definition separators for sidekiqz2

analogdevicesinc/hdl@28df754 axi_dmac: infer interrupt line for Xilinx projects analogdevicesinc/hdl@3d4ea9c Revert "axi_dmac: assert xfer_request only when ready"

v0.30

5 years ago

Summary

  • Switched to the arm-linux-gnueabihf-gcc hard-float toolchain.
  • Implemented workaround for broken write sysdef.
  • Update HDL to hdl_2018_r2 branch
  • Use Vivado 2018.2
  • Switched to Linux kernel 4.14
  • Enabled ext4 filesystem support
  • Minor Linux driver bug fixing see full log below
  • libiio Version 0.17
  • libad9361-iio Version 0.2

14a6611 PlutoSDR: Prepare for v0.30 release e7622c2 plutosdr-fw: Update to 2018_R2 release and move to Vivado 2018.2 2e943aa README.md: Add note about gcc toolchains

Changelog buildroot:

analogdevicesinc/buildroot@2e4817b package: libad9361-iio: Bump to Version 0.2 - switch to release mechanism analogdevicesinc/buildroot@d4c1784 S23udc, S45msd : Tell users if it is a AD9364, or a AD9363 analogdevicesinc/buildroot@b1516ec package/libiio/libiio.mk: Bump to Version 0.17 analogdevicesinc/buildroot@e1476e4 pluto html: Update pluto mass storage page, based on user feedback analogdevicesinc/buildroot@42b3354 S40network: Be a little more pedantic analogdevicesinc/buildroot@11e0544 S45msd : indicate if one or two cores are enabled. analogdevicesinc/buildroot@fea212a configs/zynq_pluto_defconfig: Switch to HF toolchain found in Vivado 2018.2 analogdevicesinc/buildroot@44754e3 package/libiio/libiio.mk: Bump to Version 0.16 analogdevicesinc/buildroot@1f06980 board/m2k/post-build.sh: Add update firmware script analogdevicesinc/buildroot@987c79b configs/zynq_m2k_defconfig: Switch to arm-linux-gnueabihf toolchain

analogdevicesinc/linux@c2041af arm: configs: zynq_pluto_defconfig: Enable ext4 filesystem support analogdevicesinc/linux@4e51492 iio: adc: ad9361: Fix to prevent invalid RFBW setting during enable FIR analogdevicesinc/linux@70b6b10 dma: axi-dmac: terminate early DMA transfers after a partial one analogdevicesinc/linux@31baa60 dma: axi-dmac: populate residue info for completed xfers analogdevicesinc/linux@bc30b52 dma: axi-dmac: assign copy_align property analogdevicesinc/linux@5b98ee8 iio: adc: ad9361: MGC maintain gain in case we cross a gaintable boundary analogdevicesinc/linux@e208142 iio: adc: ad9361: Fix external LO mode in TDD mode analogdevicesinc/linux@9d38c46 iio: adc: ad9361: Avoid checking LO synthesizer lock in power down mode analogdevicesinc/linux@c726813 iio: adc: ad9361: Fix out_voltage0_hardwaregain_available reading analogdevicesinc/linux@f0fd895 dts: Update deprecated axi-dmac channel bindings analogdevicesinc/linux@a44ccb9 dts: Remove deprectated axi-dmac properties analogdevicesinc/linux@04231a9 dma: axi-dmac: don't check the number of frames for alignment analogdevicesinc/linux@a288f0f dma: axi-dmac: Enable FLAG_LAST independent of FLAG_CYCLIC analogdevicesinc/linux@78f1a03 dma: axi-dmac: Add support for interleaved cyclic transfers analogdevicesinc/linux@81b8105 dma: axi-dmac: Enable DMA_INTERLEAVE capability analogdevicesinc/linux@7adb27a arch: arm: configs: zynq_pluto_defconfig: Update for kernel 4.14 analogdevicesinc/linux@8d85c16 dts: ad936x: remove unused properties analogdevicesinc/linux@8bbac0f iio: frequency: cf_axi_dds: Fix bug caused by probe sequence ordering analogdevicesinc/linux@1dab1c1 dts: ad936x: Enable TX LO power-down managed mode analogdevicesinc/linux@be4d17e drivers: iio: ad9361: Introduce TX LO power-down managed mode analogdevicesinc/linux@f307784 drivers: iio: ad9361: Fix out_volatge_hardwaregain_available readings analogdevicesinc/linux@d95bc0b dma: axi-dmac: Discover length alignment requirement

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@89d0754 configs: zynq_m2k_defconfig: Set bootldelay 0 analogdevicesinc/u-boot-xlnx@c7d5f61 board: xilinx: zynq: board: Optimize board_late_init

analogdevicesinc/hdl@46f16f0 axi_dmac/tb: Add support for xsim analogdevicesinc/hdl@8fdd27c axi_ad9361: Mark rst output as active high analogdevicesinc/hdl@db25ee1 axi_dmac: fix transfer start synchronization analogdevicesinc/hdl@9d6f3de axi_dmac: assert xfer_request only when ready analogdevicesinc/hdl@20ac7dc axi_dmac: component level testbench updates analogdevicesinc/hdl@a4c4e38 axi_dmac: early abort 2d support analogdevicesinc/hdl@a1cc20e axi_dmac: early abort support analogdevicesinc/hdl@2f3a959 axi_dmac: request generator reworked to use FSM analogdevicesinc/hdl@eb40b42 axi_dmac: preparation work for reporting length of partial transfers analogdevicesinc/hdl@0203cd6 axi_dmac: drive destination eot from source side analogdevicesinc/hdl@681b619 axi_dmac: wire destination descriptor through source analogdevicesinc/hdl@f98c9e4 ad_dds_2: Don't try to round if signal is not truncated analogdevicesinc/hdl@ef4ceac axi_dmac: Reduce the width of ID signals to minimum analogdevicesinc/hdl@cff06bd axi_dmac: Use AXI3 for DMAC in Intel projects analogdevicesinc/hdl@ec8a2cd adrv936x/ccbox_lvds: unconnected clock for ad9361 input protection analogdevicesinc/hdl@4d8008e axi_dmac: fix address width detection analogdevicesinc/hdl@79003c5 ad_dds: Fix synthesis updates analogdevicesinc/hdl@892febe ad_dds_2: Remove unused disable logic feature analogdevicesinc/hdl@8a306ce axi_ad9162: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@8cd8815 axi_ad9152: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@a2d3c87 axi_adrv9009: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@92f0e80 jesd204/ad_ip_jesd204_tpl_dac: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@5284603 axi_ad9963: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@92dbd75 axi_ad9739a: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@25dbca7 axi_ad9371: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@42abe0c axi_ad9361: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@d27ed93 axi_ad9144: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@4a73e32 axi_ad9122: Updates for ad_dds phase accumulator wrapper analogdevicesinc/hdl@a7f5746 ad_dds: Add selectable phase width option. analogdevicesinc/hdl@7b55399 Add ad_dds.v analogdevicesinc/hdl@35e8454 Rename ad_dds.v to ad_dds_2.v analogdevicesinc/hdl@ad7e95b ad_dds: Add selectable out data width and fair rounding analogdevicesinc/hdl@2c1f919 ad_dds_1.v: Fully use the selectable data width feature analogdevicesinc/hdl@3dc7be3 ad_dds_sine_cordic: Fix sine pic to pic amplitude. analogdevicesinc/hdl@6a18536 ad_dds: Separated phase width from data width analogdevicesinc/hdl@664c46e ad_dds_sine_cordic: Ajust for rounding errors analogdevicesinc/hdl@c617302 ad_dds_cordic: Move the shifting operation analogdevicesinc/hdl@a96d9bd ad_dds_sine: Cosmetic updates only analogdevicesinc/hdl@43f460e ad_dds_cordic_pipe.v: Optimize for implementation analogdevicesinc/hdl@dc80048 ad_dds_sine_cordic.v: Suppress warning analogdevicesinc/hdl@ad425de ad_dds_1.v: Fix concatenation width mismatch analogdevicesinc/hdl@ca81397 axi_ad9361: : Update for CORDIC algorithm analogdevicesinc/hdl@4362c35 ad_dds: Update for CORDIC algorithm integration analogdevicesinc/hdl@4c32b47 ad_dds_1: Update for CORDIC algorithm integration analogdevicesinc/hdl@0e114a3 ad_dds: Add sine generator using CORDIC algorithm analogdevicesinc/hdl@e79992f axi_dmac: TLAST support for 2d transfers analogdevicesinc/hdl@c5b62a0 axi_dmac: fix 2d transfer address width analogdevicesinc/hdl@e794d04 axi_dmac: renamed .h files to .vh analogdevicesinc/hdl@7713738 axi_dmac: ttcl file support for simulation analogdevicesinc/hdl@0d0989d axi_dmac: diagnostic interface in bursts analogdevicesinc/hdl@7f4b6ca axi_dmac: Remove unused constraint analogdevicesinc/hdl@e2c75c0 axi_dmac: add tlast to the axis interface for Intel analogdevicesinc/hdl@8ddcffc axi_dmac: Enforce transfer length and stride alignments analogdevicesinc/hdl@c4cb3df axi_dmac: Move transfer abort logic to data mover analogdevicesinc/hdl@92984dc axi_dmac: Move sync transfer start logic to the data mover analogdevicesinc/hdl@62969bd axi_dmac: Cleanup data mover analogdevicesinc/hdl@44e09f5 axi_dmac: Remove backpressure from the source pipeline analogdevicesinc/hdl@7d643e2 axi_dmac: Limit number of bursts on the source side analogdevicesinc/hdl@d80175d axi_dmac: Remove second destination side register slice analogdevicesinc/hdl@0d337ed axi_dmac: Eliminate beat counter for the destination interfaces analogdevicesinc/hdl@71e14f6 axi_dmac: Route destination request ID through the burst memory analogdevicesinc/hdl@859e3d2 axi_dmac: Rework data store-and-forward buffer analogdevicesinc/hdl@fa99afc axi_dmac: dest_axi_mm: Simplify dependency management analogdevicesinc/hdl@8c1d8e2 axi_dmac: Allow to disable FIFO interfaces immediately analogdevicesinc/hdl@8937c36 axi_dmac: Hook up rlast for MM-AXI source interface analogdevicesinc/hdl@8b272cf axi_dmac: Add testbenches that exercise DMA shutdown analogdevicesinc/hdl@02bc91a axi_dmac: Rework transfer shutdown analogdevicesinc/hdl@95c98c6 axi_dmac: Split transfer handling into separate sub-module analogdevicesinc/hdl@80cfe26 axi_dmac: Be more specific about debug register timing exceptions analogdevicesinc/hdl@80e7ba5 axi_dmac: Revert EOT memory to FIFO structure analogdevicesinc/hdl@8b8df70 axi_dmac: request_generator: Remove reset from data path analogdevicesinc/hdl@6bc1eae axi_dmac: 2d_transfer: Remove resets from data path analogdevicesinc/hdl@6b7a464 axi_dmac: address_generator: Remove resets from data path analogdevicesinc/hdl@67600f9 axi_dmac: Use localparam instead of parameter analogdevicesinc/hdl@cf52081 axi_dmac: Increase default store-and-forward memory size to 8 bursts analogdevicesinc/hdl@b18b16f axi_dmac: Use a more descriptive label for the store-and-forward memory size analogdevicesinc/hdl@15b0e38 axi_dmac: List valid store-and-forward memory sizes analogdevicesinc/hdl@682895c axi_dmac: dest_axi_stream: Remove outdated comment analogdevicesinc/hdl@7a804c1 axi_dmac: Fix debug ID order analogdevicesinc/hdl@3f94fec axi_dmac/dma_write_tb: added data integrity check analogdevicesinc/hdl@5c2e10e axi_dmac: added ModelSim support to run_tb.sh analogdevicesinc/hdl@ee4932e axi_dmac: made vlog pass analogdevicesinc/hdl@24d17e8 axi_dmac: Add transfer testbenches analogdevicesinc/hdl@b3f027f axi_dmac: Add simple register map testbench analogdevicesinc/hdl@ef3687e axi_dmac: Split register map into separate sub-module analogdevicesinc/hdl@ccb69e7 axi_dmac: axi_dmac_hw.tcl: Use ad_ip_files helper analogdevicesinc/hdl@ee57f86 axi_dmac: Fix bus resize block reset

v0.29

5 years ago

Summary

  • Minor Linux driver bug fixing see full log below.
  • Update to libIIO Version 0.15
  • Add firmware update script
  • Some license file updates
  • Update HDL to hdl_2018_r1 branch
  • Use Vivado 2017.4

Changelog:

d8766c7 Merge pull request #22 from analogdevicesinc/readme-fix 9ae5054 Matching SDK and HDL compiler versions in readme setup steps 81cb640 Merge pull request #21 from af7ss/master 81b5679 Updated README.md to added instructions for the case where a build fails due to an issue with eclipse & GTK3 on Ubuntu 16.04LTS. 10179f4 build: remove ADI_LEGAL var and use TARGET var for legal info fb9d384 scripts/legal_info_html.sh: close omitted div 76aa80a 53-adi-plutosdr-usb.rules: add SidekiqZ2 entries for udev 8c60099 build: clean the build dir on each run 36fbc8f build: parametrize ADI legal info for Written Offer e155453 build: parametrize the build artifacts for Pluto & SidekiqZ2 b0ab360 build: add sidekiqz2.its file 23dd81d .gitignore: add vim swap files c5d3453 Merge pull request #17 from analogdevicesinc/tweak-license 5b332c2 LICENSE.md : Update with some wording tweaks, move the WARRANTY section to 80 chars 57d7e3e legal_info_html.sh : Add BSD license, and tweak output to make it look like the other files on the mass storage device. 9bd17f1 LICENCE.md : fix spelling

Changelog buildroot:

analogdevicesinc/buildroot@04dceb2 board/pluto/update_frm: Add update firmware script analogdevicesinc/buildroot@2bf8687 board/sidekiqz2/S23udc: Use proper EpiqSolutions USB VID 0x2FA2 analogdevicesinc/buildroot@bb73d01 Revert "sidekiqz2: use Pluto's msd data" analogdevicesinc/buildroot@2bc57fb Merge pull request #5 from analogdevicesinc/sidekiqz2-symlink-pluto-msd analogdevicesinc/buildroot@afaeee8 sidekiqz2: include LICENSE.html file in msd image analogdevicesinc/buildroot@ba88a2d .gitignore: add vim swap files and ignore all VERSIONS files analogdevicesinc/buildroot@69bff7d sidekiqz2: use Pluto's msd data analogdevicesinc/buildroot@6bf90df board/sidekiqz2/device_config: Fix FIRMWARE name analogdevicesinc/buildroot@f87e89f html doc pages: Add placeholders & requests for translations which don't exist yet analogdevicesinc/buildroot@e159889 html doc pages: add link to license at top, and fix locations of files which don't have translations yet. analogdevicesinc/buildroot@c1275f0 style.css: Add a box with a border around it for the license analogdevicesinc/buildroot@9615fbc Merge pull request #4 from analogdevicesinc/buildroot-mtools analogdevicesinc/buildroot@e1ba07a html doc pages: Add placeholders & requests for translations which don't exist yet analogdevicesinc/buildroot@7335fbc html doc pages: add link to license at top, and fix locations of files which don't have translations yet. analogdevicesinc/buildroot@eb10071 style.css: Add a box with a border around it for the license analogdevicesinc/buildroot@bf182c6 package/libiio: Bump to Version 0.15 analogdevicesinc/buildroot@529fc34 pluto,m2k,sidekiqz2: fix post-build scripts analogdevicesinc/buildroot@980d892 host-genimage: add host-mtools to dependency list analogdevicesinc/buildroot@b5fd2f3 pluto,m2k,sidekiqz2: add set -e specifier to post-build script analogdevicesinc/buildroot@31713e5 Merge pull request #3 from analogdevicesinc/sidekiq-z2-support analogdevicesinc/buildroot@33f2ba4 sidekiqz2: add support for new board

analogdevicesinc/linux@56cfbfa arch/arm/boot/dts/zynq-e310: Add EttusResearch E310 IIO Linux support analogdevicesinc/linux@0c8d461 arch: arm: sidekiqz2: adjust min rx/tx frequencies for filters analogdevicesinc/linux@7ff28d4 arch: arm: sidekiqz2: remove unreachable filterbanks setting analogdevicesinc/linux@36f57dd dt-bindings: adi,ad9361.txt: fix typo in doc for filter-banks control analogdevicesinc/linux@68047cd arch/arm/boot/dts/zynq-e310: Add EttusResearch E310 IIO Linux support analogdevicesinc/linux@11bd0f5 arch: arm: sidekiqz2: adjust min rx/tx frequencies for filters analogdevicesinc/linux@8375ccf arch: arm: sidekiqz2: remove unreachable filterbanks setting analogdevicesinc/linux@575eaae dt-bindings: adi,ad9361.txt: fix typo in doc for filter-banks control analogdevicesinc/linux@1d889d7 arch: arm: sidekiqz2: add sequencing for RX/TX band switching analogdevicesinc/linux@59bf8f4 iio: adc: ad9361: adjust RX/TX port selection based on during band settings analogdevicesinc/linux@dedf3e3 iio: adc: ad9361: add support for pre/post setting sequences analogdevicesinc/linux@049ddd2 arch: arm: sidekiqz2: define hooks for init/uninit analogdevicesinc/linux@476d565 iio: adc: ad9361: add hooks for init/uninit states of the system analogdevicesinc/linux@9aa3649 arch: arm: sidekiqz2: define GPIO settings for external band control analogdevicesinc/linux@84774e68 iio: adc: ad9361: add basic support for external band control analogdevicesinc/linux@ce60f09 devicetree: add AD9361 dt-bindings documentation analogdevicesinc/linux@6e5df94 arch: arm: sidekiqz2: update dt to use AD9364 chip analogdevicesinc/linux@2221ff8 arch: arm: sidekiqz2: define gpio-line-names for GPIO expanders analogdevicesinc/linux@880ef57 arch: arm: sidekiqz2: add LNA_SW gpio as hog in the U21 expander analogdevicesinc/linux@5f79e44 iio: adc: ad9361: use gpiod_set_value_cansleep() when resetting chip analogdevicesinc/linux@be03583 arch: arm: zynq-sidekiqz2-revb: rename reset-gpio to reset-gpios analogdevicesinc/linux@a0fe005 arch: arm: zynq-sidekiqz2-revb: rename from zynq-sidekiqz2-reva analogdevicesinc/linux@48167d4 iio: adc: ad9361: move RX/TX port selection on state struct analogdevicesinc/linux@0c33f80 iio: cf_axi_dds: Prevent out-of-bounds debug register access analogdevicesinc/linux@a1dba55 iio: cf_axi_adc: Prevent out-of-bounds debug register access analogdevicesinc/linux@9b924ae iio: adc: ad9361_conv: Fix skipped TX tune regression analogdevicesinc/linux@b4b067a iio: adc: ad9361: use proper MAX_BASEBAND_RATE define analogdevicesinc/linux@715ce15 iio: adc: ad9361: Validate MAX Half Band filter rates analogdevicesinc/linux@1bd4cde drivers: iio: frequency: cf_axi_dds: Fix function return path analogdevicesinc/linux@55757f6 drivers: iio: frequency: cf_axi_dds: Fix driver remove path analogdevicesinc/linux@a93f3c9 .travis.yml: add Sidekiq Z2 to build analogdevicesinc/linux@e53a308 iio: adc: ad9361: consolidate state struct init/clear analogdevicesinc/linux@69d898c iio: adc: ad9361: add header guard in private header analogdevicesinc/linux@434f747 iio: adc: ad9361: opaque-ify some drv structs and split state struct analogdevicesinc/linux@47d1de9 iio: adc: ad9361: add ad9361_uses_lvds_mode() accessor analogdevicesinc/linux@e5607f5 iio: adc: ad9361: wrap tx/rx clock data delay functions analogdevicesinc/linux@dec20d2 iio: adc: ad9361: add accessor for getting device's digital tune data analogdevicesinc/linux@8d30532 iio: adc: ad9631: add ad9361_uses_rx2tx2() accessor analogdevicesinc/linux@e0f37fc iio: adc: ad9361: hide ENSM mode pinctrl logic analogdevicesinc/linux@53871326 iio: adc: ad9361: add ad9361_set_trx_clock_chain_default() wrapper analogdevicesinc/linux@d2589ec iio: adc: ad9361: move register definitions to separate header file analogdevicesinc/linux@ebe67ca iio: adc: ad9361: replace printk with pr_err analogdevicesinc/linux@53a1878 iio: adc: ad9361: whitespaces fixes

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@f5f001e configs/zynq_sidekiqz2_defconfig: Use USB PID 0x5A32 in DFU mode analogdevicesinc/u-boot-xlnx@720a4c7 sidekiqz2: update u-boot env settings with manufacturer specs analogdevicesinc/u-boot-xlnx@c43e333 zynq-pluto-sdr.dts: correct file header and update copyright analogdevicesinc/u-boot-xlnx@abc63df zynq-pluto-sdr: remove xlnx,zynq-picozed-sdr2 compat string analogdevicesinc/u-boot-xlnx@d8cf117 spi_flash: do not return error code for unsupported flash lock/unlock ops analogdevicesinc/u-boot-xlnx@ffa45f0 spi: spi_flash: add support for ISSI IS25WP256D flash analogdevicesinc/u-boot-xlnx@658ace0 arch: arm: add support for Sidekiq Z2 board

analogdevicesinc/hdl@3cf33db axi_dmac: Fix bus resize block reset analogdevicesinc/hdl@f3102ee axi_dmac: Limit MAX_BYTES_PER_BURST to maximum supported value analogdevicesinc/hdl@5418dfe axi_dmac: axi_dmac_hw.tcl: Fix indention analogdevicesinc/hdl@e609c7f axi_dmac: Prevent destination AXI burst length truncation analogdevicesinc/hdl@bfac561 axi_dmac: adding missing dependency for Intel flow analogdevicesinc/hdl@c42ed7d axi_dmac: removed harmful SDC constraint analogdevicesinc/hdl@ad05a5e axi_dmac: AXI3 support on Intel qsys analogdevicesinc/hdl@2c4d81a axi_dmac: Disable 2D transfer support by default analogdevicesinc/hdl@05e8604 axi_dmac: Remove unused pause signal from address generator analogdevicesinc/hdl@2b2c1f6 axi_dmac: Fix some indentation errors analogdevicesinc/hdl@1ea3ad2 Add quiet mode to the Makefile system analogdevicesinc/hdl@d8916e6 axi_ad9144: Infer clock signal analogdevicesinc/hdl@3dac544 axi_dmac_ip.tcl: Add include files to file list analogdevicesinc/hdl@0f443f4 project-.mk Update CLEAN targets analogdevicesinc/hdl@89ad5f7 Makefile: Change IP component dependency to component definition file analogdevicesinc/hdl@0048cc3 Makefile: Don't create invalid sub-project targets analogdevicesinc/hdl@685f2ea Makefile: Simplify sub-project target generation analogdevicesinc/hdl@d5040d8 Makefile: Update outdated example analogdevicesinc/hdl@35a39ba Regenerate library Makefiles using the new shared Makefile include analogdevicesinc/hdl@2b108c6 Add common library Makefile analogdevicesinc/hdl@b20714b Regenerate project top-level Makefiles analogdevicesinc/hdl@297940d Add shared project top-level Makefile analogdevicesinc/hdl@377247a Regenerate project Makefiles using the new shared Makefile includes analogdevicesinc/hdl@5272ed4 Add common project Makefile for Xilinx projects analogdevicesinc/hdl@ec6128d Add common project Makefile for Altera projects analogdevicesinc/hdl@8a2a394 Remove unused projects/common/Makefile analogdevicesinc/hdl@8c96438 sidekiqz2: Initial commit analogdevicesinc/hdl@09a6eb5 up_dac_common: Explicitly define boolean parameter as a 1 bit value analogdevicesinc/hdl@425e803 license: Fix a spelling mistake analogdevicesinc/hdl@3499422 license: Update old license headers analogdevicesinc/hdl@a540770 util_adxcvr: Don't show reset ports for disabled lanes analogdevicesinc/hdl@0d01c08 util_[c|u]pack_dsf: clear syntehsis warnings analogdevicesinc/hdl@bce0cf8 util_[w|r]fifo: Reduce synthesis warnings analogdevicesinc/hdl@eedd8ed up_delay_cntrl: Fix synthesis warnings, no functional changes analogdevicesinc/hdl@b4ab639 up_[adc|dac]common: Define the DPR registers only when the interface is enabled analogdevicesinc/hdl@5cba461 axi_dmac: fix synthesis warnings analogdevicesinc/hdl@4bcf45a common: clean up synthesis warnings analogdevicesinc/hdl@b6d2def axi_ad9361: clear synthesis warnings analogdevicesinc/hdl@5bfc585 axi_dmac: Added MAX_BYTES_PER_BURST and DISABLE_DEBUG_REGISTERS parameters to Intel IP analogdevicesinc/hdl@d13ff8d axi_dmac: In SDP mode REGCEB is connected to GND analogdevicesinc/hdl@a7b98c3 ad_tdd_control: Fix the tdd_burst_counter implementation analogdevicesinc/hdl@017dcae up[adc|dac]common: DRP_DISABLE should be boolean analogdevicesinc/hdl@493fc1d axi: Fix instantiation of up_[adc|dac][common|channel] analogdevicesinc/hdl@74b922f axi: Infer clock and reset signals of an IP analogdevicesinc/hdl@758c617 common/up_ : Make up_rstn synchronous to up_clk analogdevicesinc/hdl@57a61f0 scripts:adi_project: Update ZCU102 device package and board files analogdevicesinc/hdl@0026617 scripts:adi_project: Use default strategies for synth and impl analogdevicesinc/hdl@8234ba1 scripts:adi_ip: Update web address format analogdevicesinc/hdl@7c04e36 scripts: Message severity changes on Vivado analogdevicesinc/hdl@47e95fc scripts: Update tools for the next release analogdevicesinc/hdl@43a0695 axi_ad9361: Altera fix lvds interface analogdevicesinc/hdl@75409ee util_fir_int: Shifted data so that the amplitude at the output of the filter is the same with the input analogdevicesinc/hdl@096aadb util_fir_dec: Changed output rounding mode to Symmetric rounding to Zero analogdevicesinc/hdl@53033a9 axi_dmac: Fix tlast generation on AXI stream master analogdevicesinc/hdl@6cd0d8a axi_dmac: Don't add CDC constraints when all clocks are synchronous