M2k Fw Versions Save

M2k firmware for the ADALM-2000 Active Learning Module

v0.32

5 months ago

Summary

  • Linux update to branch 2022_R2
  • Linux kernel version 5.15.0
  • FPGA/HDL update to branch plutosdr-fw-v038_m2k-fw-v032
  • Vivado Version 2022.2
  • Update Buildroot to 2023.02.5
  • Switch to Linaro gcc toolchain for Linux, u-boot and buildroot
  • Libiio v0.25 + latest v0.xx branch
  • DAC support for triggered start and stop
  • DAC support for raw vaules
  • ADC calibration mode for calibscale HDL values

Changelog

0793820 M2k: prepare for v0.32 5cfed4f scripts: Add run-xsdb.tcl e4220ce Makefile: Update Vivado Version too 2022.2 9315a06 Makefile: Add test build option to skip generating license info 35c8c40 Makefile: Fix dependencies for external toolchain ae505c4 Makefile: Switch to buildroot Linaro toolchain aa8aef7 scripts/legal_info_html: Fix HTTP 301 Moved Permanently issue with libxml2 46bedfa Adding rule file for console parsing in Jenkins

analogdevicesinc/linux@83e4f6139475 iio: frequency: m2k-dac: Add support for triggered start and stop analogdevicesinc/linux@f64f6dd9bb8e iio: frequency: m2k-dac: Add support for raw vaules analogdevicesinc/linux@45dccc9f8e87 iio: adc: ad_adc: m2k: Add calibration mode for calibscale HDL values analogdevicesinc/linux@e74e3f82dc22 drivers: iio: adc: cf_axi_adc.h: Add missing reg analogdevicesinc/linux@c09362c88895 iio: frequency: cf_axi_dds: drop mlock usage analogdevicesinc/linux@5d8ee951447b iio: adc: cf_axi_adc_core: fix segfault on unbind analogdevicesinc/linux@136349de0e85 iio: adc: cf_axi_adc_core: unregister the IIO device analogdevicesinc/linux@19ff231f7056 iio: adc: cf_axi_adc_core: make sure dt nodes are released analogdevicesinc/linux@2832ad8e419d iio: adc: ad_adc: drop mlock usage analogdevicesinc/linux@a410b0de3922 iio: dac: cf_axi_dds: Protect against unimplemented callbacks analogdevicesinc/linux@a6250c5a7932 iio: adc: cf_axi_adc_core: Fix masklenght initialization analogdevicesinc/linux@1c6aacecea38 Input: adp5589-keys - use the right header analogdevicesinc/linux@51a224eaf851 Input: adp5589-keys - do not explicitly control IRQ for wakeup analogdevicesinc/linux@3e35c1946805 Input: adp5589-keys - do not unconditionally configure as wakeup source analogdevicesinc/linux@e5dddbedfe09 dt-bindings: add ADP5585/ADP5589 entries to trivial-devices analogdevicesinc/linux@478a57072a4c Input: adp5589-keys - use BIT() analogdevicesinc/linux@a26506788320 Input: adp5589-keys - mark suspend and resume methods as __maybe_unused analogdevicesinc/linux@30df385e35a4 Input: adp5589-keys - use devm_add_action_or_reset() for register clear analogdevicesinc/linux@74f2c59324a3 Input: adp5589-keys - use devm_gpiochip_add_data() for gpios analogdevicesinc/linux@3b95bc57c86b Input: adp5589-keys - remove setup/teardown hooks for gpios analogdevicesinc/linux@760a1219ff26 Input: adp5589-keys - use device-managed function in adp5589_keypad_add() analogdevicesinc/linux@41d7d26b8fb2 Input: adp5589-keys - use devm_kzalloc() to allocate the kpad object

analogdevicesinc/buildroot@f70f4aff40 package: libiio: libiio.mk: Update to tip of libiio-v0 branch analogdevicesinc/buildroot@6011c6162c configs/zynq_[pluto|m2k]_defconfig: Switch to Linaro toolchain analogdevicesinc/buildroot@620344f93b Merge tag '2023.02.5' of https://github.com/buildroot/buildroot.git analogdevicesinc/buildroot@170bf9c8d1 board: pluto: S23udc: Fix pluto's config.txt confusing analogdevicesinc/buildroot@eca3e0a06a package: libiio: Bump libiio version to v0.25 analogdevicesinc/buildroot@529790e39c board/pluto/S45msd: Update libiio search string for libiio v0.25

analogdevicesinc/hdl@1978df298 axi_dac_interpolate: Improve the ctrl logic analogdevicesinc/hdl@f9e3cc544 m2k: Remove dac last_sample_hold control analogdevicesinc/hdl@15250232f axi_dmac: Fix constraints coverage and empty to list warnings analogdevicesinc/hdl@d18ea43bb m2k: Fix CW for slave segments without an address space

v0.31

1 year ago

Summary

  • Fix RNDIS/CDC Ethernet interface IP assignment
  • Add hook in init.d to run user application code
  • Advertise USB MaxPower 4mA when powered externally

analogdevicesinc/buildroot@0d5db4a280 board: pluto: S23udc: Advertise USB MaxPower 4mA in some cases analogdevicesinc/buildroot@1e4f7b5a56 board: pluto|m2k: Add hook in init.d to run user application code analogdevicesinc/buildroot@be38b5a9e8 board/pluto/S40network: Fix typo in udhcpd.conf

v0.30

1 year ago

Summary

  • Linux update to branch 2021_R2
  • FPGA/HDL update to branch hdl_2021_R2
  • Vivado Version 2021.2
  • Update Buildroot to 2022.02.3

Changelog

c1e72ae (HEAD -> master, tag: v0.30, github/master) Add script for ci. (#11) 47b1e8e Makefile: Fix sysroot warnings eaa04d3 README.md: Update README for v0.30 release 2535bcb m2k-fw: linux: Submodule Update 82442b4 Makefile: VIVADO_TOOLCHAIN_PATH default to use Vitis 5b6d37e M2k: Submodule update 9a70ee4 .gitignore: Update gitignores with autogenerated files 0c47f75 Makefile: Bump Vivado version to 2021.2

analogdevicesinc/linux@662417df39db iio: frequency: m2k-dac: move to device managed probe analogdevicesinc/linux@83bcd13918df iio: dac: cf_axi_dds: move to device managed probe analogdevicesinc/linux@a2ecbfda60dc iio: adc: cf_axi_adc_core: move to device managed probe analogdevicesinc/linux@cc19856591b8 iio: logic: m2k-logic-analyzer: make use of devm_iio_dmaengine_buffer_alloc() analogdevicesinc/linux@43399897108a dmaengine: axi-dmac: check cache coherency register

analogdevicesinc/buildroot@509c896ac1 board/pluto/S40network: Compatibility with new busybox dhcpcd analogdevicesinc/buildroot@f646d45413 package/libiio/0001-C-public..: Remove already applied patch analogdevicesinc/buildroot@1a1d0b519b (origin/master-next) board/pluto: Add support for Android USB Tethering analogdevicesinc/buildroot@8aeff978e1 configs: zynq_[pluto|m2k]defconfig: Switch to buildroot toolchain analogdevicesinc/buildroot@bd460921db Bump gnuradio to 3.10.3 analogdevicesinc/buildroot@abef218e85 board/pluto: Add support for Android USB Tethering analogdevicesinc/buildroot@e5b7b352c3 configs: zynq[pluto|m2k]_defconfig: Switch to buildroot toolchain analogdevicesinc/buildroot@cd8053695d Revert "Update for 2021.02.7" analogdevicesinc/buildroot@fb3c633cf2 Update for 2022.02.3

analogdevicesinc/hdl@cef4adb81 axi_dmac: Add suport for 64 bit address width

v0.29

1 year ago

Summary

  • Libiio v0.24
  • Linux 5.10
  • FPGA/HDL Updates
  • Vivado Version 2021.1
  • Fix device_persistent_keys command

Changelog

ac8551d M2k: prepare for v0.29 88d861b Makefile: Update to Vivado version 2021.1 e79ccd1 scripts/legal_info_html: Update surface-mail address c3158c8 m2k: Submodule update

analogdevicesinc/linux@12a4e479395a iio: adc: cf_axi_adc_core: Fix NULL pointer dereference in axiadc_read_raw analogdevicesinc/linux@8e59ec4c25a2 iio: frequency: cf_axi_dds: New real variant of adi,axi-ad9081-tx-1.0 analogdevicesinc/linux@ae2c9ca5dbc7 iio: frequency: cf_axi_dds.c: Support for 64-bit IIO_CHAN_INFO_SAMP_FREQ analogdevicesinc/linux@dced6c9ccd31 iio: adc: cf_axi_adc_core: Support for 64-bit IIO_CHAN_INFO_SAMP_FREQ analogdevicesinc/linux@c7fcca872f19 iio: frequency: cf_axi_dds: Sync DDSs after jesd204 link establishment analogdevicesinc/linux@9a09ba34d6c8 iio: frequency: cf_axi_dds: Support for EXT SYNC analogdevicesinc/linux@0792eac2172b iio: adc: cf_axi_adc_core: Support for EXT SYNC analogdevicesinc/linux@06dfcb78a4f3 iio: adc: cf_axi_adc_core: Add read_label cb() and forward analogdevicesinc/linux@38521f67847c iio: frequency: cf_axi_dds: Support for chan spec via axidds_core_info analogdevicesinc/linux@fbdcaa819349 iio: frequency: cf_axi_dds: setup_chip_info_tbl() real DDS chan support analogdevicesinc/linux@da23bde4c296 iio: adc: ad_adc: Add new compatible adi,axi-adc-tpl-so-10.0.a analogdevicesinc/linux@4055bce192ab iio: adc: cf_axi_adc_core: Add SYNC start support via device attrib analogdevicesinc/linux@f82e3b4a923d iio: frequency: cf_axi_dds: Fix undesired sync start behavior analogdevicesinc/linux@a4b1e659c0fe dmaengine: axi-dmac: Drop local dma_parms analogdevicesinc/linux@78a2f92e4c4a dmaengine: axi-dmac: add support for reading bus attributes from registers analogdevicesinc/linux@3061a65c1b3d dmaengine: axi-dmac: wrap channel parameter adjust into function analogdevicesinc/linux@06b6e88c7ecf dmaengine: axi-dmac: wrap entire dt parse in a function analogdevicesinc/linux@08b36dba23e5 dmaengine: axi-dmac: move clock enable earlier analogdevicesinc/linux@a88fdece44d4 dmaengine: axi-dmac: move active_descs list init after device-tree init analogdevicesinc/linux@b377e670bac5 dmaengine: axi-dmac: move version read in probe analogdevicesinc/linux@a5b982af953b dmaengine: axi-dmac: add a check for devm_regmap_init_mmio analogdevicesinc/linux@cb3efd5a3885 Input: adp5589 - make keypad support optional

analogdevicesinc/buildroot@6d681cb26d board: pluto :device_persistent_keys: Copy all generated keys to flash analogdevicesinc/buildroot@19749a3db4 package: libiio: libiio.mk: Bump libiio to version 0.24 analogdevicesinc/buildroot@8ead98606b pluto-sdr: enable easy updating of firmware from github analogdevicesinc/buildroot@d8793da8ca package/libiio: Update to the latest git HEAD and add HWMON support analogdevicesinc/buildroot@ffef98e1fb package/libm2k: Bump to version 0.6.0 and avoid github dl issue analogdevicesinc/buildroot@164165cf37 (tag: 2021.02.7-adi) Merge tag '2021.02.7' of https://github.com/buildroot/buildroot.git

analogdevicesinc/hdl@cd04141ff axi_dmac: Add parameter controlling AWCACHE analogdevicesinc/hdl@faf5f9029 library/axi_dmac: Add the BYTES_PER_BURST_WIDTH interface parameter in INTERFACE_DESCRIPTION analogdevicesinc/hdl@fe713a5e9 library/axi_dmac: Rename 2d_transfer to dmac_2d_transfer analogdevicesinc/hdl@bc8e7881f axi_dmac: Hook up ID analogdevicesinc/hdl@5dd9fd483 axi_dmac: Allow wider FIFO/AXI Stream interface analogdevicesinc/hdl@e61cadb2c axi_dmac: Restore axi_dmac_regmap_request to f7b8a2d version analogdevicesinc/hdl@4c0f9a65f axi_dmac: fix non-blocking assignment in combinatorial block analogdevicesinc/hdl@d9639db99 m2k: Use adi_project_create instead of adi_project analogdevicesinc/hdl@f7b8a2dfb axi_dmac: Update IP with the new util_axis_fifo

v0.28

2 years ago

Summary

  • Added libm2k v0.5.0: A library and CLI for interfacing with the ADALM2000
  • Libiio v0.23 updated

Changelog

985a465 (HEAD -> master, tag: v0.28, github/master) M2k: prepare for v0.28 9d91fcc README.md: Update for v0.28 Release 77bdf2f Makefile: Add sysroot target

Changelog buildroot:

analogdevicesinc/buildroot@4e515a8444 package: libm2k: Bump to Version 0.5.0 analogdevicesinc/buildroot@35af596319 package/libiio: Bump to libiio v0.23 analogdevicesinc/buildroot@06afa9f24c package: libm2k: Add library for interfacing with the ADALM2000 analogdevicesinc/buildroot@af1f0a23c5 pluto automounter: Retry automount in case device driver isn't ready analogdevicesinc/buildroot@bb00d6468e board/m2k/msd/version.js: return M2k instead of Pluto on fw update status

v0.27

3 years ago

Summary

  • Add option to select USB Ethernet Compatibility Mode
    • CDC-NCM support for MacOS and Linux
    • CDC-ECM support for Android and Linux
  • Fixed HDL DAC bug related to last sample hold
  • Update Buildroot to upstream 2020.02.8
  • HTML page updates
    • Device registration
    • Links to training videos
    • Show Network over USB compatibility mode
  • Libiio v0.21 updated to 2019_R2 branch
  • Linux kernel 5.4
  • Add DM9601 USB Ethernet driver

Changelog

1b299b2 (HEAD -> master, tag: v0.27, github/master) M2k: prepare for v0.27 84e59f0 build: tweak defaults to run 'make' without Vivado ca72919 Makefile: make hdl clean sub-build 31866e1 Makefile: add ability to build an m2k.frm file without Vivado 4c9c7d6 Makefile: replace $(LINUXDIR) with 'linux'

analogdevicesinc/linux@fd4058d9a174 iio: logic: m2k-fabric: Fix m2k fabric gpio array handling analogdevicesinc/linux@b05d16429dac arm: configs: zynq_[pluto|m2k]_defconfig: Add DM9601 USB Ethernet driver analogdevicesinc/linux@f081a37e7e8d dmaengine: axi-dmac: fix overflow resulting in divide-by-zero analogdevicesinc/linux@e33ca4b9edd2 iio: adc: m2k-trigger-adc: fix/cleanup probe & remove analogdevicesinc/linux@817eac17bb77 iio: frequency: cf_axi_dds: Use proper define analogdevicesinc/linux@c49024fdb0e9 iio: logic: m2k-fabric: rework GPIO code to new framework analogdevicesinc/linux@b51d4a6b31e7 iio: cf_axi_dds: fix function type analogdevicesinc/linux@81f4a4f1bf5c iio: frequency: m2k-dac: fix match function type analogdevicesinc/linux@b8374b85529d Input: adp5589 - initialize GPIO controller parent device analogdevicesinc/linux@fc15be39a827 dmaengine: axi-dmac: add regmap support analogdevicesinc/linux@e28d91552813 dmaengine: axi-dmac: terminate early DMA transfers after a partial one analogdevicesinc/linux@e3923592f80b dmaengine: axi-dmac: populate residue info for completed xfers analogdevicesinc/linux@f4a9fe97ea5a dmaengine: axi-dmac: update license header analogdevicesinc/linux@5b969bd1d9cd dmaengine: axi-dmac: assign copy_align property analogdevicesinc/linux@a5b20600a67a dmaengine: axi-dmac: Discover length alignment requirement analogdevicesinc/linux@b5d89905d039 dmaengine: axi-dmac: Sanity check memory mapped interface support analogdevicesinc/linux@a3ee0bf23eae dmaengine: axi-dmac: Enable TLAST handling analogdevicesinc/linux@8add6cce9848 dmaengine: axi-dmac: Add support for interleaved cyclic transfers analogdevicesinc/linux@9a05045d2a68 dmaengine: axi-dmac: Enable DMA_INTERLEAVE capability analogdevicesinc/linux@648865a79d8e dmaengine: axi-dmac: Don't check the number of frames for alignment analogdevicesinc/linux@56009f0d2f54 dmaengine: axi-dmac: Infer synthesis configuration parameters hardware analogdevicesinc/linux@23b846396b95 dmaengine: axi-dmac: extend support for ZynqMP arch analogdevicesinc/linux@921234e0c5d7 dmaengine: axi-dmac: Split too large segments analogdevicesinc/linux@48b02a85fe2e dmaengine: axi-dmac: Use struct_size() in kzalloc()

Changelog buildroot:

analogdevicesinc/buildroot@a9818ab69c board/pluto/S45msd: Remove undesired character analogdevicesinc/buildroot@19874e5c8c update pluto and m2k on board html pages analogdevicesinc/buildroot@7e6be5fb45 configs/zynq_[pluto|m2k]_defconfig: Remove strace analogdevicesinc/buildroot@bcff8605fe package/libiio/libiio.mk: Update to git 2019_R2 (until next formal release) analogdevicesinc/buildroot@b079676cb4 board/pluto/device_format_jffs2: Fix fs cache issue analogdevicesinc/buildroot@45214d02b7 board: pluto: S23udc: Add option to select USB Ethernet Compatibility Mode analogdevicesinc/buildroot@65af0de8d0 Merge tag '2020.02.8' from Buildroot upstream

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@a2c2013a86 (HEAD -> pluto, origin/pluto) config: zynq-common: Remove compatible env setting analogdevicesinc/u-boot-xlnx@19b59bd9d5 config: zynq-common: Fix attr_val attr_name analogdevicesinc/u-boot-xlnx@8b44bd8131 config: zynq-common: Fix refclk setting analogdevicesinc/u-boot-xlnx@23a5c007b9 configs: zynq-common: Use double quotes for strings analogdevicesinc/u-boot-xlnx@d16d68b6f8 configs: zynq-common: Fix rev B fdt errors analogdevicesinc/u-boot-xlnx@b15d169c8b configs: zynq-common: Fix mode 2r2t analogdevicesinc/u-boot-xlnx@d41a6a6a6d configs: zynq-common: Guard against M2K platform analogdevicesinc/u-boot-xlnx@b5af45694c ci,pluto,m2k,azure-pipelines: add initial CI integration for Azure analogdevicesinc/u-boot-xlnx@e1eb71f029 configs: zynq-common: Fix SPI env update

analogdevicesinc/hdl@912e09ad1 m2k: Add DAC last sample connections analogdevicesinc/hdl@0ddb08070 axi_ad9963: Add last sample hold support analogdevicesinc/hdl@4f4a4208c axi_dac_interpolate: Add last sample support

v0.26

3 years ago

Summary

  • Various trigger related updates and fixes
  • Instrument sync support
  • Temperature look-up table calibration
  • Support for persistent ssh keys and passwd changes
  • HTML page updates
  • Libiio v0.21
  • Linux 4.19

In order to use Mixed Signal View available in Scopy v1.2.0, please update to this firmware release.

Changelog

582fcfe M2k: prepare for v0.26 1c38306 scripts: legal_info_html.sh: Sync with PlutoSDR 1941b9c Makefile: Update VIVADO_VERSION -> 2019.1 80f6a81 readme.md: Fix CROSS_COMPILE variable

analogdevicesinc/linux@bd9f616 iio: logic: m2k-trigger-ad: Add trigger holdoff support analogdevicesinc/linux@e3c4e4a iio: adc: cf_axi_adc: remove unused TPL definitions analogdevicesinc/linux@59430b4 iio: cf_axi_adc: add id field to struct axiadc_chip_info analogdevicesinc/linux@a1641c6 iio: logic: M2K LA add delay taps control analogdevicesinc/linux@8b67bd6 iio: logic: m2k: la & adc add trigger disable option analogdevicesinc/linux@33a5162 iio: frequency: m2k-dac: Add dac triggering analogdevicesinc/linux@0306cda iio: logic: m2k-logic-analyzer add tx triggering support analogdevicesinc/linux@9ef0448 iio: frequency: cf_axi_dds: update dds_data_select names analogdevicesinc/linux@3ce5946 iio: frequency: cf_axi_dds: fix whitespaces analogdevicesinc/linux@e55b829 iio: m2k-logic-analyzer: use devm_add_action_or_reset() for disabling clock analogdevicesinc/linux@a7ab90f iio: m2k-logic-analyzer: return directly devm_iio_device_register() analogdevicesinc/linux@a365606 iio: m2k-logic-analyzer: remove explicit iio_device_unregister() analogdevicesinc/linux@2ed3189 iio: frequency: cf_axi_dds: Channel crossbar support analogdevicesinc/linux@5592fcb iio: frequency: cf_axi_dds: Fix rotation matrix for modified channels analogdevicesinc/linux@9f3cee6 arch: arm: m2k: add project header tags + license analogdevicesinc/linux@3ac0149 arch: arm,arm64: Enable ADF4360 support analogdevicesinc/linux@a218229 ARM: dts: zynq-m2k-reva: remove node axi_sysid_0 analogdevicesinc/linux@9b8a4ec iio: adc: cf_axi_adc.h: Fix HDL core config defines analogdevicesinc/linux@a2abeeb iio: frequency: cf_axi_dds: Fix some checkpatch warnings analogdevicesinc/linux@cfdabfd iio: frequency: cf_axi_dds: Add support for adi,axi-ad9081-tx-1.0 analogdevicesinc/linux@91336d9 iio: frequency: cf_axi_dds: generically handle more than 16 DDS channels analogdevicesinc/linux@a16a077 iio: frequency: cf_axi_dds: Option to retrieve channels from TPL HDL-Core

Changelog buildroot:

analogdevicesinc/buildroot@8b7688e Merge pull request #49 from analogdevicesinc/rgetz-update-m2k-html analogdevicesinc/buildroot@8c358aa board/m2k/msd/index.html: Remove link to Google+ analogdevicesinc/buildroot@8bdc177 board/m2k/msd/index.html: Convert links http -> https analogdevicesinc/buildroot@96c074f board/m2k/msd/index.html: Fix link and avoid error file not found analogdevicesinc/buildroot@f4472a1 m2k: update index.html page to (hopefully) make it easier for end users analogdevicesinc/buildroot@e2a165c board/m2k/S21misc: restore ssh authorized_keys from jffs2 analogdevicesinc/buildroot@adb20ca configs: zynq_m2k_defconfig: Update for Vivado 2019.1 toolchain analogdevicesinc/buildroot@43dba30 Add quotes around the SSID and passphrase for the wifi to allow for spaces in them analogdevicesinc/buildroot@52c3cfd board/m2k/post-build.sh: Add $ symbol analogdevicesinc/buildroot@9dcc088 board: pluto & m2k: Temperature look-up table calib analogdevicesinc/buildroot@1daa690 Merge pull request #44 from analogdevicesinc/fix-motd analogdevicesinc/buildroot@cd1da4c board/[pluto|m2k]/motd: Convert link to HTTPS analogdevicesinc/buildroot@548b393 restore ssh authorized_keys from jffs2 analogdevicesinc/buildroot@471fa43 add ssh authorized_keys to jffs2 analogdevicesinc/buildroot@73ee0ec configs: fix kernel version for vivado 2019.1 analogdevicesinc/buildroot@75ccfd2 configs: zynq_pluto_defconfig: Updates for toolchain from Vivado 2019.1 analogdevicesinc/buildroot@2ee30e3 board: pluto & m2k: Enable persistent ssh keys and passwd changes analogdevicesinc/buildroot@1bfa9dc board: pluto & m2k: change mount points analogdevicesinc/buildroot@f67bbf9 board/pluto/post-build: mount mtd2 as JFFS2 on /mnt/jffs2 analogdevicesinc/buildroot@e2f673c package: libiio: Bump to Version 0.21 analogdevicesinc/buildroot@c53821f passwd: Apply upstream patch - initialize pointers correctly analogdevicesinc/buildroot@31e23b0 configs: add Vivado 2018.3 & 2019.1 defconfigs analogdevicesinc/buildroot@0487c39 ci,build: add check to ensure that ADI defconfigs are up-to-date analogdevicesinc/buildroot@cfc2536 package/dropbear: Update dropbear, enable OPENSSL and -Ofast analogdevicesinc/buildroot@d4686cb package/jesd204b_status/jesd204b_status.mk: Update to latest master analogdevicesinc/buildroot@97b16d3 package/libiio/libiio.mk: Update to V0.19

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@af19d59 configs: zynq-common: Fix 1r1t mode analogdevicesinc/u-boot-xlnx@469a0fd configs: zynq-common: Add environment configuration for RevC

analogdevicesinc/hdl@1e537b1 axi_ad9963: Fix warnings analogdevicesinc/hdl@0152b64 m2k: Fix Warnings analogdevicesinc/hdl@8d80b0f axi_logic_analyzer: Fix data width warning analogdevicesinc/hdl@47fa86c axi_logic_analyzer: Optimize the input data path analogdevicesinc/hdl@58e0044 axi_adc_trigger: Use valid in data delay stage analogdevicesinc/hdl@4766d01 m2k: Update constraints analogdevicesinc/hdl@4d39a35 m2k: Connect signals for instrument sync analogdevicesinc/hdl@c797a2e axi_adc_decimate: Export signals indicating the rate analogdevicesinc/hdl@2e0ba5b axi_logic_analyzer: Auto sync to ADC path analogdevicesinc/hdl@d2b1164 axi_dmac: Add interface description register analogdevicesinc/hdl@4972e5c axi_dac_interpolate: oversampling optimization analogdevicesinc/hdl@d39ed3d axi_dac_interpolate: Fix oversampling by 2 analogdevicesinc/hdl@ef5f29e m2k: Pattern Generator add instrument triggering analogdevicesinc/hdl@ad44394 axi_logic_analyzer: Add trigger disable condition analogdevicesinc/hdl@3e91078 axi_adc_trigger: Add trigger disable condition analogdevicesinc/hdl@3857bdd axi_dmac: generalize version check analogdevicesinc/hdl@e1353d5 m2k: use DMA streaming interface analogdevicesinc/hdl@cd58489 axi_adc_trigger: Change out hold counter width analogdevicesinc/hdl@4fdaa7f axi_adc_trigger: Cosmetic change only analogdevicesinc/hdl@bdd44e3 axi_adc_trigger: Dynamically set the out pin hold period analogdevicesinc/hdl@e0813d4 axi_adc_trigger: Fix two sample offset analogdevicesinc/hdl@d844167 axi_adc_trigger: Fix trigger jitter analogdevicesinc/hdl@8131c86 m2k: Connect the adc_trigger reset analogdevicesinc/hdl@ecfa6bd axi_logic_analyzer: Add holdoff support analogdevicesinc/hdl@ede19a3 axi_adc_trigger: Add holdoff support analogdevicesinc/hdl@514aadb m2k: Use dac trigger analogdevicesinc/hdl@af2f243 axi_dac_interpolate: Add dac trigger feature analogdevicesinc/hdl@64f5a99 axi_adc_trigger: Add and 1 extra delay analogdevicesinc/hdl@10c9956 axi_logic_analyzer: Add extra reg pipe to avoid latch analogdevicesinc/hdl@6af5d3c axi_logic_analyzer: Improve external trigger analogdevicesinc/hdl@9323f41 m2k: Clean old interrupt connection style

v0.25

4 years ago

Summary

This is a bug-fix release which addresses two issues seen on some versions of the device over temperature.

  • Fix AD9963 external reference drift.
  • Fix ADF4360 sporadic PLL unlock issue.

A feature-add release will follow in a few weeks.

v0.24

4 years ago

Summary

  • Fixed channel sync mechanism
  • Added trigger cascading support
  • Switched Linux kernel to master 4.14

Changelog

... Under construction ...

v0.23

5 years ago

Summary

  • Fix triggering jitter effect
  • Fix DAC buffer enable glitches
  • Update libiio v0.18

Changelog

c7ca535 M2k: prepare for v0.23 b75d424 Makefile: Update to Vivado 2018.2 and add workaround for write_sysdev

Changelog Linux:

analogdevicesinc/linux@ba420ca input: adp5589: Add gpio_set_multiple interface analogdevicesinc/linux@f1ee68e iio: logic: m2k-fabric: Fix EN_AWG1/2 glitches

Changelog buildroot:

analogdevicesinc/buildroot@529a433 Merge pull request #18 from analogdevicesinc/update-libiio-v018-v2 analogdevicesinc/buildroot@161422c package/libiio/libiio.hash: Update Version 0.18 hash after tag move analogdevicesinc/buildroot@a2a0936 package/libiio/libiio.mk: Update to Version 0.18 analogdevicesinc/buildroot@3599022 linux: strip white-spaces from KERNEL_DTS_NAME analogdevicesinc/buildroot@c086a0d adi_mb_defconfig: allow buildroot to override the system rootfs analogdevicesinc/buildroot@43d5c03 microblaze_adi_defconfig: include ADI kernel, ethtool, phy-tool & mii-diag analogdevicesinc/buildroot@5bd3ee8 microblaze_adi_rootfs_defconfig: split rootfs-only build analogdevicesinc/buildroot@06d6112 board: adi: microblaze: Include SSH keys analogdevicesinc/buildroot@9fe51b8 dropbear: add host dropbearkey analogdevicesinc/buildroot@2f4ea00 Add MicroBlaze support for ADI's platforms analogdevicesinc/buildroot@2fc84c2 package: libaio: Add MicroBlaze support analogdevicesinc/buildroot@b995bc4 Merge pull request #15 from analogdevicesinc/rgetz-m2k-html-updates analogdevicesinc/buildroot@d5b4abb m2k/msd: Update html page, mostly to sync with the pluto page. analogdevicesinc/buildroot@5747df7 configs/zynq_m2k_defconfig: Update gcc version for 2018.2 toolchain analogdevicesinc/buildroot@325c7b2 Merge pull request #14 from epiq-alex/pluto analogdevicesinc/buildroot@886b9ed Modified zynq_sidekiqz2_defconfig with hardfp changes from zynq_pluto_defconfig analogdevicesinc/buildroot@2e4817b package: libad9361-iio: Bump to Version 0.2 - switch to release mechanism analogdevicesinc/buildroot@d4c1784 S23udc, S45msd : Tell users if it is a AD9364, or a AD9363 analogdevicesinc/buildroot@b1516ec package/libiio/libiio.mk: Bump to Version 0.17 analogdevicesinc/buildroot@fabd296 Merge pull request #12 from analogdevicesinc/rgetz-html-updates analogdevicesinc/buildroot@e1476e4 pluto html: Update pluto mass storage page, based on user feedback analogdevicesinc/buildroot@42b3354 S40network: Be a little more pedantic analogdevicesinc/buildroot@11e0544 S45msd : indicate if one or two cores are enabled. analogdevicesinc/buildroot@fea212a configs/zynq_pluto_defconfig: Switch to HF toolchain found in Vivado 2018.2 analogdevicesinc/buildroot@44754e3 package/libiio/libiio.mk: Bump to Version 0.16

Changelog u-boot:

analogdevicesinc/u-boot-xlnx@a2f86f3 Fixed variable definition separators for sidekiqz2

analogdevicesinc/hdl@a3ce8c5 axi_rd_wr_combiner: Add rlast to the AXI MM interface analogdevicesinc/hdl@1c8172d axi_adc_trigger: Cosmetic update analogdevicesinc/hdl@44e20d0 axi_adc_trigger: Fix triggering jitter effect analogdevicesinc/hdl@fc74201 axi_dmac: patch version checking analogdevicesinc/hdl@804c57a axi_dmac: Remove length alignment requirement for MM interfaces analogdevicesinc/hdl@7986310 axi_dmac: burst_memory: Add support for using asymmetric memory analogdevicesinc/hdl@c8900eb axi_dmac: burst_memory: Move src valid bytes resizing to resize_src module analogdevicesinc/hdl@00090b1 axi_dmac: burst_memory: Consider DMA_LENGTH_ALIGN analogdevicesinc/hdl@34e89b9 axi_dmac: burst_memory: Reset beat counter at the end of each burst analogdevicesinc/hdl@764f314 axi_dmac: tb: Allow testing asymmetric interface widths analogdevicesinc/hdl@46f16f0 axi_dmac/tb: Add support for xsim analogdevicesinc/hdl@db25ee1 axi_dmac: fix transfer start synchronization analogdevicesinc/hdl@9d6f3de axi_dmac: assert xfer_request only when ready analogdevicesinc/hdl@20ac7dc axi_dmac: component level testbench updates analogdevicesinc/hdl@a4c4e38 axi_dmac: early abort 2d support analogdevicesinc/hdl@a1cc20e axi_dmac: early abort support analogdevicesinc/hdl@2f3a959 axi_dmac: request generator reworked to use FSM analogdevicesinc/hdl@eb40b42 axi_dmac: preparation work for reporting length of partial transfers analogdevicesinc/hdl@0203cd6 axi_dmac: drive destination eot from source side analogdevicesinc/hdl@681b619 axi_dmac: wire destination descriptor through source analogdevicesinc/hdl@8674882 m2k: Downgrade SPI related critical warning, as we use lower clock speed for power reasons analogdevicesinc/hdl@ef4ceac axi_dmac: Reduce the width of ID signals to minimum analogdevicesinc/hdl@cff06bd axi_dmac: Use AXI3 for DMAC in Intel projects analogdevicesinc/hdl@4d8008e axi_dmac: fix address width detection analogdevicesinc/hdl@5284603 axi_ad9963: Updates for ad_dds phase acc wrapper analogdevicesinc/hdl@3b319fa axi_ad9963:: Update for CORDIC algorithm analogdevicesinc/hdl@e79992f axi_dmac: TLAST support for 2d transfers analogdevicesinc/hdl@c5b62a0 axi_dmac: fix 2d transfer address width analogdevicesinc/hdl@e794d04 axi_dmac: renamed .h files to .vh analogdevicesinc/hdl@7713738 axi_dmac: ttcl file support for simulation analogdevicesinc/hdl@0d0989d axi_dmac: diagnostic interface in bursts analogdevicesinc/hdl@7f4b6ca axi_dmac: Remove unused constraint analogdevicesinc/hdl@e2c75c0 axi_dmac: add tlast to the axis interface for Intel analogdevicesinc/hdl@8ddcffc axi_dmac: Enforce transfer length and stride alignments analogdevicesinc/hdl@c4cb3df axi_dmac: Move transfer abort logic to data mover analogdevicesinc/hdl@92984dc axi_dmac: Move sync transfer start logic to the data mover analogdevicesinc/hdl@62969bd axi_dmac: Cleanup data mover analogdevicesinc/hdl@44e09f5 axi_dmac: Remove backpressure from the source pipeline analogdevicesinc/hdl@7d643e2 axi_dmac: Limit number of bursts on the source side analogdevicesinc/hdl@d80175d axi_dmac: Remove second destination side register slice analogdevicesinc/hdl@0d337ed axi_dmac: Eliminate beat counter for the destination interfaces analogdevicesinc/hdl@71e14f6 axi_dmac: Route destination request ID through the burst memory analogdevicesinc/hdl@859e3d2 axi_dmac: Rework data store-and-forward buffer analogdevicesinc/hdl@fa99afc axi_dmac: dest_axi_mm: Simplify dependency management analogdevicesinc/hdl@8c1d8e2 axi_dmac: Allow to disable FIFO interfaces immediately analogdevicesinc/hdl@8937c36 axi_dmac: Hook up rlast for MM-AXI source interface analogdevicesinc/hdl@8b272cf axi_dmac: Add testbenches that exercise DMA shutdown analogdevicesinc/hdl@02bc91a axi_dmac: Rework transfer shutdown analogdevicesinc/hdl@95c98c6 axi_dmac: Split transfer handling into separate sub-module analogdevicesinc/hdl@751031e m2k:zed: Remove, as it's not part of the release analogdevicesinc/hdl@80cfe26 axi_dmac: Be more specific about debug register timing exceptions analogdevicesinc/hdl@80e7ba5 axi_dmac: Revert EOT memory to FIFO structure analogdevicesinc/hdl@8b8df70 axi_dmac: request_generator: Remove reset from data path analogdevicesinc/hdl@6bc1eae axi_dmac: 2d_transfer: Remove resets from data path analogdevicesinc/hdl@6b7a464 axi_dmac: address_generator: Remove resets from data path analogdevicesinc/hdl@67600f9 axi_dmac: Use localparam instead of parameter analogdevicesinc/hdl@cf52081 axi_dmac: Increase default store-and-forward memory size to 8 bursts analogdevicesinc/hdl@b18b16f axi_dmac: Use a more descriptive label for the store-and-forward memory size analogdevicesinc/hdl@15b0e38 axi_dmac: List valid store-and-forward memory sizes analogdevicesinc/hdl@682895c axi_dmac: dest_axi_stream: Remove outdated comment analogdevicesinc/hdl@7a804c1 axi_dmac: Fix debug ID order analogdevicesinc/hdl@3f94fec axi_dmac/dma_write_tb: added data integrity check analogdevicesinc/hdl@5c2e10e axi_dmac: added ModelSim support to run_tb.sh analogdevicesinc/hdl@ee4932e axi_dmac: made vlog pass analogdevicesinc/hdl@24d17e8 axi_dmac: Add transfer testbenches analogdevicesinc/hdl@b3f027f axi_dmac: Add simple register map testbench analogdevicesinc/hdl@ef3687e axi_dmac: Split register map into separate sub-module analogdevicesinc/hdl@ccb69e7 axi_dmac: axi_dmac_hw.tcl: Use ad_ip_files helper analogdevicesinc/hdl@3cf33db axi_dmac: Fix bus resize block reset analogdevicesinc/hdl@ee57f86 axi_dmac: Fix bus resize block reset