Hdl Checker Versions Save

Repurposing existing HDL tools to help writing better code

v0.6.13

4 years ago
  • Changed a couple of aspects of the server suggesting to use a config file
    • Only issue the notification if the user hasn't specified a config file already (fixes #77)
    • Also increased the time to trigger this from 15 to 30 seconds
  • Invalidating cache file on version change (fixes #74)
  • Disable logging and stderr when starting the server via --log-stream NONE and/or --sterr NONE respectively (fixes #73)
  • Adding hook to __main__.py to allow python -m usage
  • Added some support for textDocument/references LSP method
    • Please not that this only provides references for items that HDL Checker knows about to support inferring libraries and determining the compilation sequence

v0.6.12

4 years ago
  • Fixed an issue with the legacy prj file parser (#69)
  • Fixed an issue when handling the rebuild project HTTP command (#70)
  • Handling git not being installed properly (fixes #72)
  • Fixed potential decoding issue when reading a file with non utf8 characters
  • Changed default GHDL flags when compiling a target file to --warn-runtime-error, --warn-reserved, --warn-unused when compiling a target file (scope = single)
  • Optimized git ignore check to only check files that are not on the repo (should improve performance on Windows)
  • Fixed an issue where a Verilog file might not be compiled as a dependency if a VHDL file used an entity defined in it
  • Handling Verilog libraries the same way as in VHDL to allow Verilog units to be instantiated from VHDL correctly

v0.6.10

4 years ago
  • Verilog/SystemVerilog
    • Fixed issues with parsing and identifying dependencies on Verilog and SystemVerilog
    • Verilog/SystemVerilog headers will be parsed as regular sources; the dependency resolution algorithm will compile needed files and pass the include paths to the compiler
    • Auto source discovery now also adds .svh and .vh
  • Fixes
    • Fixed an issue where dependency resolution failed if both package declaration and package body were on the same file
    • Fixed an issue where diagnostics from the saved file were being mixed with diagnostics generated by new edits
    • Fixed an issue where the default VHDL library wasn't always being used
    • New version notification won't trigger when installing from git via pip install git+https...
    • Fixed an issue that prevented identification of files that need to be recompiled when when using XVHDL
  • Improvements
    • Dependencies that could not be resolved to paths are now reported (#61)

v0.6.7

4 years ago
  • Removing dependency on 'sort' parm for git ls-remote (should have gone into v0.6.5!)

v0.6.5

4 years ago
  • Fixed #49 (can't get messages when source has non standard characters)
  • JSON config includes key now supports folders (will search for RTL files). The wiki has been updated as well. (#53)
  • JSON config file now handles glob patterns on file names. The wiki has been updated as well. (#54)
  • When not using a config file, file search will honor ignored git files (#55)
  • Errors from dependencies are now published (they were previously being silently ignored). This helps a bit with #58.
  • Fixed an issue with parsing XVHDL output
  • Fixed an issue that prevented recovering state from cache, resulting in rebuilding the entire project when restarting the server

v0.6.4

4 years ago
  • Fixed an issue that would prevent the LSP from starting if there's no workspace open
  • Ignoring references to library "work" when determining the most common library used for a given design unit
  • Server will now report when a file's library can't be uniquely determined
  • Adjusted minimum severity to info (VS Code doesn't seem to show hints)
  • Fixed an issue where some messages were being displayed with the wrong line number
  • Server will now restore its working directory if it gets deleted
  • Server will now report if a new release of HDL Checker is out

v0.6.3

4 years ago

Other changes:

  • Added support for LSP hover and definitions
  • Dependencies lines and columns were off by 1
  • Handling of files requiring recompilation was broken
  • Fixed some issues with testing on Windows

v0.4

7 years ago
  • Files are built on demand (top-down) rather than building the whole project (bottom-up)
  • Adding Python3 support
  • Added as-you-type support (still experimental)
  • Bug fixes

v0.3

7 years ago

Changelog

  • Fixed issue #2 -- Reload configuration file in runtime
  • Fixed issue #3 -- Add Verilog support
  • Fixed issue #24 -- Add auto detection/selection of the compiler/simulator
  • Fixed issue #25 -- Project cache should be placed along the build folder
  • Fixed issue #21 -- Provide alternative for syntax check of files not found on project file
  • Fixed issue #20 -- Add static check for 'work' as a library
  • Improved source file parsing speed
  • Auto builder flags assignment
  • Added VUnit support (VHDL and Verilog)