Cocotb Versions Save

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

v1.8.1

7 months ago

This is a maintenance release in the 1.8 release series.

Changes

  • Python 3.12 is now supported.

Bugfixes

Thanks to our users who helped us with high-quality bug reports and debugging.

v1.7.2

1 year ago

This is a maintenance release in the 1.7 release series.

Changes

  • Python 3.11 is now supported.
  • find_libpython, a library to find (as the name indicates) libpython, is now a dependency of cocotb. Its latest version resolves an issue for users on RedHat Enterprise Linux (RHEL) 8 and Python 3.8, where the correct Python library would not be detected. (#3097)

Bugfixes

  • Fixed a segmentation fault in Aldec Riviera-PRO that prevented mixed-language simulation from running. (#3078).

Thanks to our users who helped us with high-quality bug reports and debugging.

v1.7.1

1 year ago

This is a maintenance release in the 1.7 release series.

Bugfixes

  • Fixed the packaging of the source distribution (sdist) to include all necessary files. (#3072)
  • Documented the fact that libstdc++-static needs to be available on some Linux distributions to install cocotb from source. (#3082)

v1.6.2

2 years ago

This is a maintenance release in the 1.6 release series.

Bugfixes:

  • Fix regression in TestFactory when using generator-based test coroutines. (#2839)

Changes:

  • Change how PYTHONHOME is populated to work with broken mingw environments. (#2739)

v1.6.1

2 years ago

This is a maintenance release in the 1.6 release series.

Bugfixes:

  • Fix regression in TestFactory wrt unique test names. (#2781)

v1.5.2

3 years ago

This is a maintenance release in the 1.5 release series.

Bugfixes

  • Change some makefile syntax to support GNU Make 3 (#2496)
  • Fix behavior of cocotb-config --libpython when finding libpython fails (#2522)

v1.5.1

3 years ago

This is a maintenance release in the 1.5 release series.

Bugfixes

  • Prevent pytest assertion rewriting (#2028) from capturing stdin, which causes problems with IPython support (#1649, #2462)
  • Add dependency on cocotb_bus to prevent breaking users that were previously using the bus and testbenching objects. (#2477)
  • Add back functionality to cocotb.binary.BinaryValue that allows the user to change binaryRepresentation after object creation. (#2480)