Apio Versions Save

:seedling: Open source ecosystem for open FPGA boards

v0.9.3

1 month ago
  • Date: 2024-April-02
  • NOTE: Quick update release
  • Bug fixed: apio main help: output reformated, by @obijuan
  • Documentation moved to the wiki, by @obijuan
  • Windows: Apio drivers --ftdi_enable: code refactoring, by @obijuan
  • Bug fixed: #363: Error when executing apio graph, by @obijuan
  • issue #361: Improve error message in windows when running apio drivers --ftdi-enable, by @obijuan

Full Changelog: https://github.com/FPGAwars/apio/compare/v0.9.2...v0.9.3

v0.9.2

1 month ago
  • Date: 2024-March-23
  • NOTE: Quick update release
  • Bug Fixed: Error when uploading, building, verifying from icestudio, by @obijuan

Full Changelog: https://github.com/FPGAwars/apio/compare/v0.9.1...v0.9.2

v0.9.1

1 month ago

What's Changed

Important

  • Since this release, you should include the top-module name in the apio.ini project file (or pass it though parameters). If no module name is given, main is used by default

New Contributors

Full Changelog: https://github.com/FPGAwars/apio/compare/v0.8.4...v0.9.0

v0.8.4

7 months ago
  • Date: 2023-Oct-3
  • NOTE: Quick update release
  • New board: orangecrab-r02-85f (benitoss)
  • New board: ButerSticr1.0 DFU & FT2232H (benitoss)
  • ECP5 FPGAs: compress bitstream generation (Add flag --compress) (benitoss) @benitoss

v0.8.3

7 months ago

Date: 2023-October-01

This is a minor update from 0.8.2 that correct some bugs:

  • Duplicate execution of upload bitstream process found by @Democrito and fix by @cavearr

v0.8.2

7 months ago
  • Date: 2023-September-29
  • NOTE: old apio packages fully removed
  • Adding documentation about apio build --top-module (luongb)
  • Apio build parameter for top-lvl module (luongb)
  • Apio build -v(verbose) fix (luongb)
  • Bug fixed: wrong type of quotes used. Caused JSON decoder error (luongb)
  • Add fpga for iCE40-UL1K-CM36A (Kirk Clendinning)
  • Adding the iCE UltraLite Breakout Board (Kirk Clendinning)
  • github actions improved (Obijuan)
  • Newer iCE40-HX8K and ECP5 Versa boards supported (Obijuan)
  • Python packages upgraded (request 2.28.2, click 8.1.3, colorama 0.4.6) (Obijuan)
  • Bug fixed in iCESugar-Pro (ahaberlach)
  • New board: Pico-Ice (benitoss)
  • Newer Icestick boards supported (himarcarmona)
  • New FT232H programer for the ColorLight i9 (jojo535275)
  • New USB-Blaster programer for the colorlight i9 (jojo535275)
  • Bug fixed in Colorlight 5A-75B V6.1 (benitoss)
  • Newer Lattice iCE40UP5K Breakout boards are supported (Kevin Lutzer)
  • New board: ColorLight-i9-v7.2_(FT2232H) (jojo535275)
  • Old functions removed (Obijuan)
  • Code refactoring (Obijuan)
  • Code clean: Lint score 10/10 (Obijuan)
  • Github API not accessed anymore. The latest version of the apio packages is shown in the VERSION file (Obijuan)
  • Obsolete packages no longer shown with apio install -l (Obijuan)
  • Obsolete Apio packages removed: Scons, dfu, icesprog, fujprog, Yosys, ecp5, iverilator, Verilator, System-tools (Obijuan)

v0.8.1

2 years ago
  • Date: 2022-April-28
  • NOTE: Transition to the oss-cad-suite complete! The old packages are obsolete, but can still be installed. In the next release they will be fully removed
  • Support for the iceWerx board added (Obijuan (@Obijuan))
  • Examples added (Obijuan (@Obijuan))
  • Test-examples: alhambra-II. Testbenches added] (Obijuan (@Obijuan))
  • ECP5: SConstruct: message: no time analysis] (Obijuan (@Obijuan))
  • ecp5: iverilog: scons: added missing " " (Obijuan (@Obijuan))
  • ice40: Sconstruct. Iverilog: added missing " " (Obijuan (@Obijuan))
  • Bug fixed: apio time only depends on the oss-cad-suite package (Obijuan (@Obijuan))
  • Bug fixed: apio sim no longer requires the iverilog package] (Obijuan (@Obijuan))
  • fixed: get_terminal_size() (Obijuan (@Obijuan))
  • Added upduino v3.1 (vr2045 (@vr2045))
  • The Alchitry Cu board fixes (goodney (@goodney))
  • dfu, fujprog,icesprog and ecp5 packages declared as obsoletes (Obijuan (@Obijuan))
  • Verilator declared as obsolete package (Obijuan (@Obijuan))
  • Package iverilog is now declared obsolete (Obijuan (@Obijuan))
  • Readme: Update OrangeCrab/ButterStick (@gregdavill (@gregdavill))
  • Test examples for different boards: (Obijuan (@Obijuan))
    • Icesugar-1.5
    • Radiona ULX3S-12F
    • Icebreaker
    • TinyFPGA-BX
    • Fomu
    • ICE40UP
    • Blackice
    • ICE40-BreakBoard
    • Go-board
    • Alhambra II
    • Icezum Alhambra
  • Add butterstick support (and fix small orangecrab typo) (Andrew Goodney)

v0.8.0.post1

2 years ago
  • Date: 2022-April-2
  • Apio dependencies fixed
  • Bug fixed: It fixed the "get_terminal_size" error that appears because click version 0.8.1 was automaticaly installed (since March-28-2022)
  • The click version has been change to 0.7.2 temporaly for fixing the problem (it will be upgraded to 0.8.1 in the comming releases)

v0.8.0

2 years ago
  • Date: 2021-12-27
  • NOTE: Transitional version. All the old apio packages are being replaced by the oss-cad-suite. Many things can be broken, so use it with caution and fill issues with the problems found.
  • USE WITH ICESTUDIO >= 8.1 (It will NOT work with icestudio <=8.0)
  • Bumped to version 0.8.0 (Obijuan (@Obijuan))
  • Synthesis of bitstream for ECP5 12K finally solved (Fernando mosquera (@benitoss))
  • Recover the support of iCESugar-nano (Fernando mosquera (@benitoss))
  • Synthesis for ECP5 12K solved (Fernando mosquera (@benitoss))
  • Making distinction between a v0 and v1 board (Thanks to seanybaggins @seanybaggins)
  • Solution for all ECP5 models (thanks to Fernando mosquera (@benitoss))
  • Support for the ECP5-Evaluation-Board (thanks to Fernando mosquera (@benitoss))
  • Fixed call to non-existant function (Thanks to seanybaggins @seanybaggins)
  • Update iCEBreaker-bitsy board support (Thanks to suzuki-naoto @suzuki-naoto)
  • apio lint now depends only on the oss-cad-suite package
  • test: apio verify passed
  • Bug fixed: apio verify error in ice40
  • iverilog is run from the oss-cad-suite package
  • scons upgraded to the latest version: 4.2.0
  • scons is no longer an independent apio package. It is installed as a python package instead
  • scons apio package is now obsolete and not installed with apio install -a
  • Bug fixed: trellis and icebox environment variables fixed
  • ECP5: Bug fixed: Bitstream regenerated when the .lpf is changed
  • Support for the FleaFPGA-Ohm Board added (thanks to Fernando mosquera (@benitoss))
  • lib folder included in the path
  • ice40 package: no longer needed for building
  • Package ice40 marked as obsolete
  • yosys: included in the obsolete package list
  • build: package oss-cad-suite used instead of yosys

v0.7.6

2 years ago
  • Bug Fixed: The checking of the installed packages was not correctly done if they are installed in another folder different than the default
  • Now it should work ok with Icestudio >=0.6.1