Vunit Versions Save

VUnit is a unit testing framework for VHDL/SystemVerilog

v0.51.1

8 years ago

Updated links to match new repository location.

v0.51.0

8 years ago
  • Adds modelsim_vcom_flags and modelsim_vlog_flags compile options #97

v0.50.1

8 years ago

Fixed bug in codecs for integer_vectors with min value elements. Fixed bug in parsing of the used_packages argument to the generate_codecs function.

v0.50.0

8 years ago
  • Makes add_source_file(s) methods raise exception when no files matched.

v0.49.0

8 years ago
  • Adds ghdl_flags compile and simulation option.

v0.48.3

8 years ago
  • Adds dependency scanning of package instantiations.

v0.48.2

8 years ago
  • Fixes issue #90. Conflict with parallel invocation of GHDL using GCC backend.

v0.48.1

8 years ago

Added workaround to make Riviera-PRO 2015.10 pass all test cases.

v0.48.0

8 years ago
  • Added find function to string_ops for finding first substring/character of a string.

v0.47.0

8 years ago
  • Added workaround to support GHDL 0.33
  • Fixed broken test cases for OSVVM integration example