VSCode SystemVerilog Versions Save

SystemVerilog support in VS Code

v0.13.9

3 months ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.8...v0.13.9

v0.13.8

3 months ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.7...v0.13.8

v0.13.7

3 months ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.6...v0.13.7

v0.13.6

3 months ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.5...v0.13.6

v0.13.5

3 months ago

What's Changed

New Contributors

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.4...v0.13.5

v0.13.4a

8 months ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.3...v0.13.4a

v0.13.3

1 year ago

What's Changed

New Contributors

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.2...v0.13.3

v0.13.2

1 year ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.1...v0.13.2

v0.13.1

1 year ago

What's Changed

New Contributors

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.13.0...v0.13.1

v0.13.0

1 year ago

What's Changed

Full Changelog: https://github.com/eirikpre/VSCode-SystemVerilog/compare/v0.12.1...v0.13.0